Skip to main content
Log in

Challenges of 22 nm and beyond CMOS technology

  • Published:
Science in China Series F: Information Sciences Aims and scope Submit manuscript

Abstract

It is predicted that CMOS technology will probably enter into 22 nm node around 2012. Scaling of CMOS logic technology from 32 to 22 nm node meets more critical issues and needs some significant changes of the technology, as well as integration of the advanced processes. This paper will review the key processing technologies which can be potentially integrated into 22 nm and beyond technology nodes, including double patterning technology with high NA water immersion lithography and EUV lithography, new device architectures, high K/metal gate (HK/MG) stack and integration technology, mobility enhancement technologies, source/drain engineering and advanced copper interconnect technology with ultra-low-k process.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Haran B S, Kumar A, Adam L, et al. 22 nm technology compatible fully functional 0.1 μm 26T-SRAM cell. In: IEDM Tech Dig, 2008. 625–628

  2. The International Technology Roadmap for Semiconductors (ITRS) Roadmap. ITRS Roadmap 2007/2008, http://public.itrs.net/

  3. Mimotogi S, Satake M, Kitamura Y, et al. Pattering strategy and performance of 1.3 NA tool for 32 nm node lithography. In: Proc of SPIE, San Jose, 2008. 6924: 69240M01–69240M09

    Google Scholar 

  4. Lee S S, Wu C H, Huang Y F, et al. Manufacturing implementation of 32 nm SRAM using ArF immersion with RET. In: Proc of SPIE, San Jose, 2008. 6924: 69242X01–69242X10

    Google Scholar 

  5. Lin B J. Marching of the microlithography horses: electron, ion, and photon: Past, present, and future. In: Proc of SPIE, San Jose, 2007. 6520: 65200201–65200218

    Google Scholar 

  6. Pain L, Icard B, Tedesco S, et al. MAGIC: a European program to push the insertion of maskless lithography. In: Proc of SPIE, San Jose, 2008. 6921: 69211S01–69211S12

    Google Scholar 

  7. Yoneda I, Mikami S, Ota T, et al. Study of nonimprint applications toward 22 nm node CMOS devices. In: Proc of SPIE, San Jose, 2008. 6921: 69210401–69210408

    Google Scholar 

  8. Schmid G M, Khusnatdinov N, Brooks C B, et al. Minimizing linewidth roughness for 22 nm node patterning with step-and-flash imprint lithography. In: Proc of SPIE, San Jose, 2008. 6921: 69210901–69210911

    Google Scholar 

  9. Jung W Y, Kim C D, Eom J D, et al. Patterning with amorphous carbon spacer for extending the resolution limit for current lithography tool. In: Proc of SPIE, San Jose, 2006. 6156: 61561J01–61561J09

    Google Scholar 

  10. Berger A, Latinsky S, Maayan B Z, et al. Metrology characterization for self aligned double patterning. In: Proc of SPIE, San Jose, 2008. 6922: 69221101–69221107

    Google Scholar 

  11. Mukai H, Shiobara E, Takahashi S, et al. A study of CD budget in spacer patterning technology. In: Proc of SPIE, San Jose, 2008. 6924: 69240601–69240608

    Google Scholar 

  12. Lim C M, Kim S M, Hwang Y S, et al. Positive and negative tone double patterning lithography for 50 nm flash memory. In: Proc of SPIE, San Jose, 2006. 6154: 61541001–61541008

    Google Scholar 

  13. Kim S M, Koo S Y, Choi J S, et al. Issues and challenges of double patterning lithography in DRAM. In: Proc of SPIE, San Jose, 2007. 6520: 65200H01–65200H07

    Google Scholar 

  14. Dusa M, Quaedackers J, Larsen O F A, et al. Pitch doubling through dual patterning lithography challenges in integration and litho budgets. In: Proc of SPIE, San Jose, 2007. 6520: 65200G01–65200G10

    Google Scholar 

  15. Finders J, Dusa M, Vleeming B, et al. Double patterning for 32 nm and below: an update. In: Proc of SPIE, San Jose, 2008. 6924:69240801–69240812

    Google Scholar 

  16. Arnold W H, Dusa M, Flinders J. Metrology challenges for double exposure and double patterning. In: Proc of SPIE, San Jose, 2007. 6518: 65180201–65180213

    Google Scholar 

  17. Monahan K M, Widmann A. Enabling immersion lithography and double patterning. In: Proc of SPIE, San Jose, 2007. 6518: 65181M01–65181M08

    Google Scholar 

  18. Arnold W H. Towards 3 nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography. In: Proc of SPIE, San Jose, 2008. 6924: 69240401–69240409

    Google Scholar 

  19. Vanleenhove A, Steenwinckel D V. A litho-only approach to double patterning. In: Proc of SPIE, San Jose, 2007. 6520: 65202F01–65202F10

    Google Scholar 

  20. Chiou T B, Socha R, Chen H, et al. Development of layout split algorithms and printability evaluation for double patterning technology. In: Proc of SPIE, San Jose, 2008. 6924: 69243M01–69243M10

    Google Scholar 

  21. Poonawala A, Borodovsky Y, Milanfar P. ILT for double exposure lithography with conventional and novel materials. In: Proc of SPIE, San Jose, 2007. 6520: 65202Q01–65202Q14

    Google Scholar 

  22. Kim S K. Double patterning study with inverse lithography. In: Proc of SPIE, San Jose, 2008. 6923: 69232301–69232306

    Google Scholar 

  23. Shi X L, Hsu S, Chen J F, et al. Understanding the forbidden pitch and assist feature placement. In: Proc of SPIE, Santa Clara, 2002. 4689: 985–996

    Google Scholar 

  24. Rosenbluth A E, Bukofsky S, Fonseca C, et al. Optimum mask and source patterns to print a given shape. JM3 Lett, 2002, 1(1): 13–30

    Google Scholar 

  25. Socha R, Shi X L, LeHoty D. Simultaneous source mask optimization (SMO). In: Proc of SPIE, Yokohama, 2005. 5853: 180–193

    Article  Google Scholar 

  26. Liebmann L. DfM, the teenage years. In: Proc of SPIE, San Jose, 2008. 6925: 69250201–69250214

    Google Scholar 

  27. Webb C. Intel design for manufacturing and evolution of design rules. In: Proc of SPIE, San Jose, 2008. 6925: 69250301–69250308

    Google Scholar 

  28. Endo A, Hoshino H, Suganuma T, et al. CO2 laser-produced Sn-plasma source for high-volume manufacturing EUV lithography. In: Proc of SPIE, San Jose, 2008. 6921: 69210T01–69210T07

    Google Scholar 

  29. Corthout M, Apetz R, Brudermann J, et al. Sn DPP source-collector modules: Status of Alpha sources, Beta developments and the scalability to HVM. In: Proc of SPIE, San Jose, 2008. 6921: 69210V01–69210V12

    Google Scholar 

  30. Gallatin G M. Resist blur and line edge roughness. In: Proc of SPIE, San Jose, 2005. 5754: 38–52

    Google Scholar 

  31. Gallatin G M, Naulleau P, Brainard R. Fundamental limits to EUV photoresist. In: Proc of SPIE, San Jose, 2007. 6519: 65191101–65191110

    Google Scholar 

  32. Bristol R L. The tri-lateral challenge of resolution, photospeed, and LET: scaling below 50 nm. In: Proc of SPIE, San Jose, 2007. 6519: 65190W01–65190W11

    Google Scholar 

  33. Shi X L. Effect of Coulomb interaction and pKa on acid diffusion in chemically amplified resists. J Vac Sci Tech B, 1999, 17(2): 350–354

    Article  Google Scholar 

  34. Wang M X, Lee C T, Henderson C L, et al. Synthesis and properties of new anionic photoacid generators bound polymer resists for e-beam and EUV lithography. In: Proc of SPIE, San Jose, 2008. 6923: 69231201–69231207

    Google Scholar 

  35. Silva A D, Nelson F, Jing S, et al. Molecular glass resists for next generation lithography. In: Proc of SPIE, San Jose, 2008. 6923: 69231L01–69231L14

    Google Scholar 

  36. Toriumi M, Kaneyama K, Kobayashi S, et al. Surface roughness of molecular resist for EUV lithography. In: Proc of SPIE, San Jose, 2008. 6923: 69230L01–69230L08

    Google Scholar 

  37. Sergiy Y L, Benoit N, Feigl T, et al. Mo/Si multilayers with enhanced TO2 and RuO2 capping layers. In: Proc of SPIE, San Jose, 2008. 6921: 69211801–69211810

    Google Scholar 

  38. Nishiyama Y, Anazawa T, Oizumi H, et al. Carbon contamination of EUV mask: film characterization, impact on lithographic performance, and cleaning. In: Proc of SPIE, San Jose, 2008. 6921: 69211601–69211610

    Google Scholar 

  39. Matsunari S, Aoki T, Murakami K, et al. Carbon deposition on multi-layer mirrors by extreme ultraviolet radiation. In: Proc of SPIE, San Jose, 2007. 6517: 65172X01–65172X08

    Google Scholar 

  40. Hill S B, Faradzhev N S, Tarrio C, et al. Accelerated lifetime metrology of EUV multilayer mirrors in hydrocarbon environments. In: Proc of SPIE, San Jose, 2008. 6921: 69211701–69211711

    Google Scholar 

  41. Oizumi H, Yamanashi H, Nishiyama I, et al. Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzer. In: Proc of SPIE, San Jose, 2005. 5751: 1147–1154

    Google Scholar 

  42. Motai K, Oizumi H, Miyagaki S, et al. Atomic hydrogen cleaning of Ru-capped EUV multilayer mirror. In: Proc of SPIE, San Jose, 2007. 6517: 65170F01–65170F08

    Google Scholar 

  43. Mistry K, Allen C, Auth C, et al. A 45 nm logic technology with high K+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging. In: IEDM Tech Dig, Washington, 2007. 247–250

  44. Chudzik M, Doris B, Mo R, et al. High-performance high K/metal gates for 45 nm CMOS and beyond with gate-first processing, VLSI Symp Tech Dig, 2007, 194–195

  45. Kubicek S, Schram T, Paraschiv V, et al. Low Vt CMOS using doped Hf-based oxides, TaC-based metals and laser-only anneal. In: IEDM Tech Dig, Washington, 2007. 49–52

  46. Haran B S, Kumar A, Adam L, et al. 22 nm technology compatible fully functional 0.1 μm 26T-SRAM cell. In: IEDM Tech Dig, Washington, 2008. 625–628

  47. Huang J, Kirsch P D, Heh D, et al. Device and reliability improvement of HfSiON+LaOx/Metal gate stacks for 22 nm node app location. In: IEDM Tech Dig, San Francisco, 2008. 45–48

  48. Yu H Y, Chang S Z, Veloso A, et al. Low Vt Ni-FUSI CMOS technology using a DyO cap layer with either single or dual Ni-phases. In: VLSI Symp Tech Dig, 2007. 18–19

  49. Kawasaki H, Khater M, Guillorn M, et al. Demonstration of highly scaled FinFET SRAM cells with High-/Metal gate and investigation of characteristic variability for the 32 nm node and beyond. In: IEDM Tech Dig, San Francisco, 2008. 237–240

  50. Toriumi A, Kita K, Tomida K, et al. Materials science-based device performance engineering for metal gate high K CMOS. In: IEDM Tech Dig, Washington, 2007. 53–56

  51. Kang J F, Yu H Y, Ren C, et al. Ultra-thin HfO2 (EOT<0.75 nm) gate stack with TaN/HfN electrodes fabricated using a high-temperature process. Electrochem Solid-state Lett, 2005, 8(11): G311–G313

    Article  Google Scholar 

  52. Kang J F, Yu H Y, Ren C, et al. Improved electrical and reliability characteristics of HfN/HfO2 gated nMOSFET with 0.95 nm EOT fabricated using a gate-first process. IEEE Electron Device Lett, 2005, 26(4): 237–239

    Article  Google Scholar 

  53. Kang J F, Yu H Y, Ren C, et al. Scalability and reliability characteristics of CVD HfO2 gate dielectrics with HfN electrodes for advanced CMOS applications. J Electrochem Soc, 2007, 154(11): H927–H932

    Article  Google Scholar 

  54. Sa N, Kang J F, Yang H, et al. Mechanism of positivebias temperature instability in Sub-1 nm TaN/HfN/HfO2 gate stack with low preexisting traps. IEEE Electron Device Lett, 2005, 26(9): 610–612

    Article  Google Scholar 

  55. Kang J F, Ren C, Yu H Y, et al. A novel dual-metal gate integration process for Sub-1nm EOT HfO2 CMOS devices. In: 2004 International Conference on Solid State Devices and Materials (SSDM 2004), Tokyo, 2004

  56. Ghani T, Armstrong M, Auth C, et al. A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strained silicon CMOS transistors. In: IEDM Tech Dig, Washington, 2003. 978–980

  57. Yang H S, Malik R, Narasimha S, et al. Dual stress liner for high performance sub-45 nm gate length SOI CMOS manufacturing. In: IEDM Tech Dig, San Francisco, 2004. 1075–1077

  58. Chen C H, Lee T L, Hou T H, et al. Stressmemorization technique (SMT) by selectively strained nitride capping for sub-65 nm high-performance strained-si device application. In: VLSI Symp Tech Dig, Honolulu, 2004. 56–57

  59. Liu Y, Gluschenkov O, Li J, et al. Strained Si channel MOSFETs with embedded Silicon Carbon formed by solid phase epitaxy. In: VLSI Symp Tech Dig, Kyoto, 2007. 44–45

  60. Yeo YC. Enhancing CMOS transistor performance using lattice mismatched materials in source/drain regions. Semicond Sci Technol, 2007, 22(1): S177–S182

    Article  Google Scholar 

  61. Liow T Y, Tan K M, Lee R T P, et al. N-channel (110)-sidewall strained FinFETs with Silicon-Carbon source and drain stressors and tensile capping layer. IEEE Electron Device Lett, 2007, 28(11): 1014–1017

    Article  Google Scholar 

  62. Liow T Y, Tan K M, Weeks D, et al. Strained n-channel FinFETs featuring in situ doped Silicon-Carb, on (Si1-yCy) source and drain stressors with high Carbon content. IEEE Trans Electron Devices, 2008, 55(9): 2475–2483

    Article  Google Scholar 

  63. Yang B, Takalkar R, Ren Z, et al. High-performance nMOSFET with in-situ phosphorus-doped embedded Si:C (ISPD eSi:C) source-drain stressor. In: IEDM Tech Dig, San Francisco, 2008. 51–54

  64. Koh A T Y, Lee R T P, Liu F Y, et al. Pulsed laser annealing of Silicon-Carbon source/drain in MuGFETs for enhanced dopant activation and high substitutional Carbon concentration. IEEE Electron Device Lett, 2008, 29(5): 464–467

    Article  Google Scholar 

  65. Koh S M, Sekar K, Lee D, et al. N-Channel MOSFETs with embedded Silicon-Carbon source/drain stressors formed using cluster-Carbon implant and excimer-laser-induced solid phase epitaxy. IEEE Electron Device Lett, 2008, 29(12): 1315–1318

    Article  Google Scholar 

  66. Eneman G, Verheyen P, Rooyackers R, et al. Scalability of the Si1-xGex source/drain technology for the 45 nm technology node and beyond. IEEE Trans Electron Devices, 2006, 53(7): 1647–1656

    Article  Google Scholar 

  67. Tan K M, Liow T Y, Lee R T P, et al. Strained p-channel FinFETs with extended-shaped Silicon-Germanium source and drain stressors. IEEE Electron Device Lett, 2007, 28(10): 905–908

    Article  Google Scholar 

  68. Liu F Y, Wong H S, Ang K W, et al. Laser annealing of amorphous Germanium on Silicon-Germanium source/drain for strain and performance enhancement in pMOSFETs. IEEE Electron Device Lett, 2008, 29(8): 885–888

    Article  Google Scholar 

  69. Donaton R A, Chidambarrao D, Johnson J, et al. Design and fabrication of MOSFETs with a reverse embedded SiGe (Rev. e-SiGe) structure. In: IEDM Tech Dig, San Francisco, 2006. 191–194

  70. Fiorenza J G, Park J S, Lochtefeld A, et al. Detailed simulation study of a reverse embedded-SiGe strained-Silicon MOSFET. IEEE Trans Electron Devices, 2008, 55(2): 640–648

    Article  Google Scholar 

  71. Tan K M, Zhu M, Fang W W, et al. A high-stress liner comprising diamond-like Carbon (DLC) for strained p-channel MOSFET. IEEE Electron Device Lett, 2008, 29(2): 192–194

    Article  Google Scholar 

  72. Sheeja D, Tay B K, Leong K W, et al. Effect of film thickness on the stress and adhesion of diamond-like carbon coatings. Diamond Relat Mater, 2002, 11(9): 1643–1647

    Article  Google Scholar 

  73. Goto M, Tatsumura K, Kawanaka S, et al. Impact of Tantalum composition in TaC/HfSiON gate stack on device performance of aggressively scaled CMOS devices with SMT and strained CESL. In: VLSI Symp Tech Dig, Honolulu, 2008. 132–133

  74. Irisawa T, Numata T, Toyoda E, et al. Physical understanding of strain effects on gate oxide reliability of MOSFETs. In: VLSI Symp Tech Dig, Kyoto, 2007. 36–37

  75. Yang M, Ieong M, Shi L, et al. High performance CMOS fabricated on hybrid substrate with different crystal orientations. IEDM Tech Dig, Washington, 2003, 453–456

  76. Takagi S, Irisawa T, Tezuka T, et al. Carrier-transport-enhanced channel CMOS for improved power consumption and performance. IEEE Trans Electron Devices, 2008, 55(1): 21–39

    Article  Google Scholar 

  77. Nicholas G, De Jaeger B, Brunco D P, et al. High-performance deep submicron Ge pMOSFETs with halo implants. IEEE Trans Electron Devices, 2007, 54(9): 2503–2511

    Article  Google Scholar 

  78. Yamamoto T, Yamashita Y, Harada M, et al. High performance 60 nm gate length Germanium p-MOSFETs with Ni germanide metal source/drain. In: IEDM Tech Dig, Washington, 2007. 1041–1043

  79. Mitard J, De Jaeger B, Leys F E, et al. Record Ion/Ioff performance for 65 nm Ge PMOSFET and novel Si passivation scheme for improved EOT scalability. In: IEDM Tech Dig, San Francisco, 2008. 873–876

  80. Batail E, Monfray S, Tabone C, et al. Localized ultra-thin GeOI: an innovative approach to Germanium channel MOSFETs on bulk Si substrates. In: IEDM Tech Dig, San Francisco, 2008. 397–400

  81. Yang Y J, Ho W S, Huang C F, et al. Electron mobility enhancement in strained-germanium n-channel metal-oxide-semiconductor field-effect transistors. Appl Phys Lett, 2007, 91(10): 102–103

    Article  Google Scholar 

  82. Goela N, Hehb D, Koveshnik S, et al. Addressing the gate stack challenge for high mobility InxGa1-xAs channels for NFETs. In: IEDM Tech Dig, San Francisco, 2008. 363–366

  83. Xuan Y, Shen T, Xu A, et al. High-performance surface channel In-rich In0.75Ga0.25As MOSFETs with ALD high K as gate dielectric. In: IEDM Tech Dig, San Francisco, 2008. 371–374

  84. Kitazawa M, Togawa M, Rosser D, et al. Sub-30-nm PMOSFET using gas cluster ion beam boron doping for 45 nm node CMOS and beyond. In: IWJT, Kyoto, 2007. 61–62

  85. Perel A S, Krull W, Hoglund D, et al. Decaborane ion implantation. In: Proc 13 th Int Conf on Ion Implantation Tech, Alpbach, 2000. 304–307

  86. Uejima K, Yako K, Ikarashi N, et al. Pushing planar bulk CMOSFET scaling to its limit by ultimately shallow diffusionless junction. In: IEDM Tech Dig, Washington, 2007. 151–154

  87. Grimes R N. Boron clusters come of age. J Cheml Edu, 2004, 81(5): 658–672

    Google Scholar 

  88. Etienne H, Vervisch V, Torregrosa F, et al. Ultra shallow junctions fabrication by plasma immersion implantation on pulsion followed by different annealing processes. In: IWJT, Shanghai, 2008. 32–38

  89. Gelpey J, McCoy S, Kontos A, et al. Ultra-shallow junction formation using flash annealing and advanced doping techniques. In: IWJT, Shanghai, 2008. 82–86

  90. Shima A, Wang Y, Talwar S, et al. Ultra-shallow junction formation by non-melt laser spike annealing for 50 nm gate CMOS. In: VLSI Symp Tech Dig, Honolulu, 2004. 174–175

  91. Gelpey J C, McCoy S, Camm D, et al. An overview of ms annealing for deep sub-micron activation. Mat Sci Forum, 2008, 573

  92. Shima A, Wang Y, Talwar S, et al. Ultra-shallow junction formation by non-melt laser spike annealing for 50 nm gate CMOS. In: VLSI Symp Tech Dig, Honolulu, 2004. 174–175

  93. Severi S, Augendre E, Thirupapuliyur S, et al. Optimization of sub-melt laser anneal: performance and reliability. In: IEDM Tech Dig, San Francisco, 2006. 610–613

  94. Yamamoto T, Kubo T, Sukegawa T, et al. Advanced junction profile engineering featuring laser spike annealing and coimplantation for sub-30-nm strained CMOS devices. In: VLSI Symp Tech Dig, Honolulu, 2006. 234–235

  95. Suguro K, Ito T, Matsuo K, et al. Overview of the prospects of ultra-rapid thermal process for advanced CMOSFETs. In: IWJT, Shanghai, 2004. 18–21

  96. Tseng H H, Kalra P, Jungwoo Oh, et al. The challenges and progress of USJ formation & process integration for 32 nm technology and beyond. In: IWJT, Shanghai, 2008. 3–6

  97. Onizawa T, Shinich K, Aoyama T, et al. A proposal of new concept milli-second annealing: flexibly-shaped-pulse flash lamp annealing (FSP-FLA) for fabrication of ultra shallow junction with improvement of metal gate high K CMOS performance. In: VLSI Symp Tech Dig, Honolulu, 2008. 110–111

  98. Sasaki Y, Okashita K, Nakamoto K, et al. Conformal doping for FinFETs and precise controllable shallow doping for planar FET manufacturing by a novel B2H6/Helium self-regulatory plasma doping process. In: IEDM Tech Dig, San Francisco, 2008. 917–920

  99. Larson J M, Snyder J P. Overview and status of metal S/D Schottky-barrier MOSFET technology. IEEE Trans Electron Devices, 2006, 53(5): 1048–1058

    Article  Google Scholar 

  100. Zhu S Y, Chen J D, Li M F. N-type Schottky barrier source/drain MOSFET using Ytterbium silicide. IEEE Electron Device Lett, 2004, 25(8): 565–567

    Article  Google Scholar 

  101. Jang M, Kim Y, Shin J, et al. Characterization of erbium silicided Schottky diode junction. IEEE Electron Device Lett, 2005, 26(6): 354–356

    Article  Google Scholar 

  102. Ko C H, Chen H W, Wang T J, et al. NiSi Schottky barrier process-strained Si (SB-PSS) CMOS technology for high performance applications. In: VLSI Symp Tech Dig, Honolulu, 2006. 80–81

  103. Zhang Z, Qiu Z J, Liu R, et al. Schottky-barrier height tuning by means of ion implantation into preformed silicide films followed by drive-in anneal. IEEE Electron Device Lett, 2007, 28(7): 565–568

    Article  Google Scholar 

  104. Kinoshita T, Hasumi R, Hamaguchi M, et al. Ultra low voltage operations in bulk CMOS logic circuits with dopant segregated Schottky source/drain transistors. In: IEDM Tech Dig, San Francisco, 2006. 71–74

  105. Choi Y K, Ha D, King T J, et al. Nanoscale ultrathin body PMOSFETs with raised selective germanium source/drain. IEEE Electron Dev Lett, 2001, 22(9): 447–448

    Article  Google Scholar 

  106. Uchida K, Koga J, Takagi S I, et al. Experimental study on carrier transport mechanisms in double- and single-gate iltrathin-body MOSFETs-coulomb scattering, volume inversion, and TSOI-induced scattering. In: IEDM Tech Dig, Washington 2003. 805–808

  107. Ren Z, Hegde S, Doris B, et al. An experimental study on transport issues and electrostatics of ultrathin body SOI pMOSFETs. IEEE Electron Device Lett, 2002, 23(10): 609–611

    Article  Google Scholar 

  108. Tian Y, Huang R, Zhang X, et al. A novel nanoscaled device concept: Quasi-SOI MOSFET to eliminate the potential weaknesses of UTB SOI MOSFET. IEEE Trans Electron Devices, 2005, 52(4): 561–568

    Article  Google Scholar 

  109. Tian Y, Xiao H, Huang R, et al. Quasi-SOI MOSFETA promising bulk device candidate for extremely scaled era. IEEE Trans Electron Devices, 2007, 54(7): 1784–1788

    Article  Google Scholar 

  110. Lee J H, Taraschi G, Wei A, et al. Super self-aligned double-gate (SSDG) MOSFETs utilizing oxidation rate difference and selective epitaxy. In: IEDM Tech Dig, Wahington, 1999, 71–74

  111. Zhang S D, Lin X N, Huang R, et al. A self-aligned, electrically separable double-gate MOS transistor technology for dynamic threshold voltage application. IEEE Trans Electron Devices, 2003, 50(11): 2297–2300

    Article  Google Scholar 

  112. Masahara M, Matsukawa T, Ishii K, et al. 15nm-thick Si channel wall vertical double-gate MOSFET. In: IEDM Tech Dig, San Francisco, 2002. 949–951

  113. Schulz T, Rosner W, Risch L, et al. Short-channel vertical sidewall MOSFETs. IEEE Trans Electron Devices, 2001, 48: 1783–1788

    Article  Google Scholar 

  114. Hisamoto D, Lee W C, Kedzierski J, et al. A folded-channel MOSFET for deep-sub-tenth micron era. IEDM Tech Dig, San Francisco, 1998. 1032–1034

  115. Huang X, Lee W C, Kuo C, et al. Sub-50 nm FinFET: PMOS. IEDM Tech Dig, Washington, 1999. 67–70

  116. Yu B, Chang L, Ahmed S, et al. FinFET scaling to 10nm gate length. In: IEDM Tech Dig, San Francisco, 2002. 251–254

  117. Kaneko A, Yagishita A, Yahashi K, et al. Sidewall transfer process and selective gate sidewall spacer formation technology for sub-15nm FINFET with elevated source/drain extension. In: 2005 IEEE International Electron Devices Meeting. In: IEDM Tech Dig, Washington, 2005. 844–847

  118. Yang F L, Lee D H, Chen H Y, et al. 5nm-Gate nanowire FinFET. In: VLSI Symp Tech Dig, Honolulu, 2004. 196–197

  119. Suk S D, Lee S Y, Kim S M, et al. High performance 5 nm radius twin silicon nanowire MOSFET (TSNWFET): fabrication on bulk Si wafer, characteristics, and reliability. In: IEDM Tech Dig, Washington, 2005. 735–738

  120. Singh N, Agarwal A, Bera L K, et al. High-performance fully depleted silicon nanowire (diameter≤5 nm) gate-all-around CMOS devices. IEEE Electron Device Lett, 2006, 27(5): 383–386

    Article  Google Scholar 

  121. Suk S D, Yeoh Y Y, Li M, et al. TSNWFET for SRAM cell application: performance variation and process dependency. In: VLSI Symp Tech Dig, Honolulu, 2008. 38–39

  122. Guillorn M, Chang J, Bryant A, et al. FinFET performance advantage at 22 nm: an AC perspective. In: VLSI Symp Tech Dig, Honolulu, 2008. 12–13

  123. Liow T Y, Tan K M, Lee R T P, et al. Spacer removal technique for boosting strain in n-Channel FinFETs with Silicon-Carbon source and drain stressors. IEEE Electron Device Lett, 2008, 29(1): 80–82

    Article  Google Scholar 

  124. Ko C H, Kuan T M, Zhang K, et al. A novel CVD-SiBCN low K spacer technology for high-speed applications. In: VLSI Symp Tech Dig, Honolulu, 2008. 108–109

  125. Kawasaki H, Khater M, Guillorn M, et al. Demonstration of highly scaled FinFET SRAM cells with high K/Metal gate and investigation of characteristic variability for the 32 nm node and beyond. In: IEDM Tech Dig, San Francisco, 2008. 237–240

  126. Kang C Y, Choi R, Song S C, et al. A novel electrode-induced strain engineering for high performance SOI FinFET utilizing Si channel for both N and PMOSFETs. In: IEDM Tech Dig, San Francisco, 2006. 885–888

  127. Shang H, Chang L, Wang X, et al. Investigation of FinFET devices for 32 nm technologies and beyond. In: VLSI Symp Tech Dig, Honolulu, 2006. 54–55

  128. Lee R T P, Koh A T Y, Fang W W, et al. Novel and cost-efficient single metallic silicide integration solution with dual Schottky-barrier achieved by aluminum inter-diffusion for FinFET CMOS technology with enhanced performance. In: VLSI Symp Tech Dig, Honolulu, 2008. 28–29

  129. Koo J M, Yoon T E, Lee T, et al. Vertical structure NAND flash array integration with paired finfet multi-bit scheme for high-density NAND flash memory application. VLSI Symp Tech Dig, Honolulu, 2008. 120–121

  130. Choi S J, Han J W, Kim S, et al. High speed flash memory and 1T-DRAM on dopant segregated Schottky barrier(DSSB) FinFET SONOS device for multi-functional SoC applications. In: IEDM Tech Dig, San Francisco, 2008. 223–226

  131. Lombardo S, Gerardi C, Breuil L, et al. Advantages of the FinFET architecture in SONOS and Nanocrystal memory devices. In: IEDM Tech Dig, Washington, 2007. 921–924

  132. Mérelle T, Curatola G, Nackaerts A, et al. First observation of FinFET specific mismatch behavior and optimization guidelines for SRAM scaling. IEDM Tech Dig, San Francisco, 2008. 241–244

  133. Saitoh M, Kaneko A, Okano K, et al. Three-dimensional stress engineering in FinFETs for mobility/on-current enhancement and gate current reduction. In: VLSI Symp Tech Dig, Honolulu, 2008. 18–19

  134. Xu X Y, Wang R S, Huang R, et al. High-performance BOI FinFETs based on bulk Silicon substrate. IEEE Trans Electron Devices, 2008, 55(11): 3246–3250

    Article  Google Scholar 

  135. Jiang Y, Liow T Y, Singh N, et al. Nanowire FETs for low power CMOS applications featuring novel gate-all-around single metal FUSI gates with dual Φm and VT tune-ability. IEDM Tech Dig, San Francisco, 2008. 8869–872

    Google Scholar 

  136. Hashemi P, Gomez L, Canonico M, et al. Electron transport in gate-all-around uniaxial tensile strained-Si nanowire n-MOSFETs. IEDM Tech Dig, San Francisco, 2008. 865–868

  137. Li M, Yeo K H, Yeoh Y Y, et al. Experimental investigation on superior PMOS performance of uniaxial strained 〈110〉 Silicon nanowire channel by embedded SiGe source/drain. IEDM Tech Dig, Washington, 2007. 899–902

  138. Liow T Y, Tan K M, Lee R T P, et al. 5 nm gate length nanowire-FETs and planar UTB-FETs with pure Germanium source/drain stressors and laser-free melt-enhanced dopant (MeltED) diffusion and activation technique. In: VLSI Symp Tech Dig, Honolulu, 2008. 36–37

  139. Yeo K H, Cho K H, Li M, et al. Gate-all-around single Silicon nanowire MOSFET with 7 nm width for SONOS NAND flash memory. In: VLSI Symp Tech Dig, Honolulu, 2008. 138–139

  140. Endo K, O’uchi S, Ishikawa Y, et al. Enhancing SRAM cell performance by using independent double-gate FinFET. In: IEDM Tech Dig, San Francisco, 2008. 857–860

  141. Fu J, Buddharaju K D, Teo S H G, et al. Trap layer engineered gate-all-around vertically stacked twin Si-nanowire nonvolatile memory. In: IEDM Tech Dig, Washington, 2007. 79–82

  142. Dupré C, Hubert A, Bécu S, et al. 15 nm-diameter 3D stacked nanowires with independent gates operation: ΦFET. In: IEDM Tech Dig, San Francisco, 2008. 749–752

  143. Tian Y, Huang R, Wang Y Q, et al. New self-aligned Silicon nanowire transistors on bulk substrate fabricated by Epifree compatible CMOS technology: process integration, experimental characterization of carrier transport and low frequency noise. In: IEDM Tech Dig, Washington, 2007. 895–898

  144. Jeong Y J, Chen J Z, Saraya T, et al. Uniaxial strain effects on Silicon nanowire pMOSFET and single-hole transistor at room temperature. In: IEDM Tech Dig, San Francisco, 2008. 761–764

  145. Chen J Z, Saraya T, Miyaji K, et al. Experimental study of mobility in [110]- and [100]-directed multiple Silicon nanowire GAA MOSFETs on (100) SOI. In: VLSI Symp Tech Dig, Honolulu, 2008. 32–33

  146. Wang R S, Zhuge J, Liu C Z, et al. Experimental study on quasi-ballistic transport in Silicon nanowire transistors and the impact of self-heating effects. IEDM Tech Dig, San Francisco, 2008. 753–756

  147. Wang R S, Liu H W, Huang R, et al. Experimental investigations on carrier transport in Si nanowire transistors: ballistic efficiency and apparent mobility. IEEE Trans Electron Devices, 2008, 55(11): 2960–2967

    Article  Google Scholar 

  148. Wang J, Polizzi E, Lundstrom M. A computational study of ballistic silicon nanowire transistors. In: IEDM Tech Dig, Washington, 2003. 695–698

  149. Lundstrom M S, Guo J. Nanoscale Transistors: Device Physics, Modeling and Simulation. New York: Springer-Verlag, 2006

    Google Scholar 

  150. Chen M J, Huang H T, Huang K C, et al. Temperature dependent channel backscattering coefficients in nanoscale MOSFETs. In: IEDM Tech Dig, San Francisco, 2002. 39–42

  151. Taur Y, Wann C H, Frank D J. 25 nm CMOS design considerations. In: IEDM Tech Dig, San Francisco,1998. 789–792

  152. Lin H N, Chen H W, Ko C H, et al. The impact of uniaxial strain engineering on channel backscattering in nanoscale MOSFETs. In: Symposium on VLSI Technology, Kyoto, 2005. 174–175

  153. Liow T Y, Tan K M, Chin H C, et al. Carrier transport characteristics of sub-30 nm strained n-channel FinFETs featuring silicon-carbon source/drain regions and methods for further performance enhancement. In: IEDM Tech Dig, San Francisco, 2006. 199–202

  154. Barral V, Poiroux T, Vinet M, et al. Experimental determination of the channel backscattering coefficient on 10–70 nm-metal-gate, Double-Gate transistors. Solid State Electrons, 2007, 51(4): 537–542

    Article  Google Scholar 

  155. Cros A, Romanjek K, Fleury D, et al. Unexpected mobility degradation for very short devices: A new challenge for CMOS scaling. In: IEDM Tech Dig, San Francisco, 2006. 399–402

  156. Shur M S. Low ballistic mobility in submicron HEMTs. IEEE Electron Device Lett, 2002, 23(9): 511–513

    Article  Google Scholar 

  157. Wang R S, Huang R, Kim D W, et al. New observations on the hot carrier and NBTI reliability of Silicon nanowire transistors. IEDM Tech Dig, Washington, 2007. 821–824

  158. Zhang L L, Wang R S, Zhuge J, et al. Impacts of non-negligible electron trapping/detrapping on the NBTI characteristics in Silicon nanowire transistors with TiN metal gates. In: IEDM Tech Dig, San Francisco, 2008. 123–126

  159. Deltoro G, Sharif N. Copper interconnect: migration or bust. IEEE/CPMT International Electronics Manufacturing Technology Symposium, Austin, 1999. 185–188

  160. Wang Y Y, Kang J F, Developmet of ULSI interconnect integration technology-copper interconnect with low K Dielectrics. Chin J Semicond, 2002, 23(11): 1121–1134

    Google Scholar 

  161. Chikaki1 S, Kinoshita1 K, Nakayama T, et al. 32 nm node ultra-low-k (k=2.1)/Cu damascene multilevel interconnect using high-porosity (50%) high-modulus (9 GPa) self-assembled porous Silica. IEDM, Washington, 2007. 969–972

  162. Tomioka K, Nakahira J, Kondo S, et al. Plasma cure process for porous SiOCH films using CF4 gas. SSDM, Tsukuba, 2007. 266–267

  163. Nakai S, Kojima M, Misawa N, et al. A 65 nm CMOS technology with a high-performance and low-leakage transistor, a 0.55 μm(2) 6T-SRAM cell and robust hybrid-ULK/Cu interconnects for mobile multimedia applications. In: IEDM, Washington, 2003. 285–288

  164. Sugiura I, Nakata Y, Misawa N, et al. 45 nm-node BEOL integration featuring porous-ultra-low-k/Cu multilevel interconnects. In: IITC, Burlingame, 2005. 15–17

  165. Ponoth S, Horak D, Nitta S, et al. Self-Assembly based air-gap integration. http://www.electrochem.org/meetings/scheduler/abstracts/214/2074.pdf

  166. Nakamura N, Matsunaga N, Kaminatsui T, et al. Cost-effective air-gap interconnects by all-in-one post-removing process. In: IITC, Burlingame, 2008. 193–195

  167. Daamen R, Banckena P H L, Nguyen V H, et al. The evolution of multi-level air gap integration towards 32 nm node interconnects. Microelectron Eng, 2007, 84(9–10): 2177–2183

    Article  Google Scholar 

  168. Harada T, Ueki A, Tomita K, et al. Extremely low keff (∼1.9) Cu interconnects with air gap formed using SiOC. In: IITC, Burlingame, 2007. 141–143

  169. Kitada H, Suzuki T, Kimura T, et al. Height dependent resistivity of copper interconnects in the size effect. Symposium on Materials, Processes, Integration and Reliability in Advanced Interconnects for Micro- and Nanoelectronics, San Francisco, 2007. 115–120

  170. Steinhögl W, Schindler G, Steinlesberger G, et al. Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller. J Appl Phys, 2005, 97(2): 023706

    Article  Google Scholar 

  171. Sakai H Shimizu N, Ohtsuka N, et al. Novel PVD process of barrier metal for Cu interconnects extendable to 45 nm node and beyond. In: Advanced Metallization Conference, San Diego, 2006. 185–190

  172. Yokogawa S, Kikuta K, Tsuchiya H, et al. A novel resistivity measurement technique for scaled-down Cu interconnects implemented to reliability-focused automobile applications. In: IEDM, San Francisco, 2006. 772–775

  173. Namba K, Hosoi N, Tarumi N, et al. Plasma-enhanced ALD Ru thin films on PVD-TaN films with smooth morphology at low temperature using DER Ru precursor. In: SSDM, Tsukuba, 2007. 62–63

  174. Kudo H, Haneda M, Ochimizu H, et al. Copper wiring encapsulation with ultra-thin barriers to enhance wiring and dielectric reliabilities for 32 nm nodes and beyond. In: IEDM, Washington, 2007. 513–516

  175. Kondo S, Fukaya K, Ohashi N, et al. Direct CMP on porous low K film for damage-less Cu integration. In: IITC, Burlingame, 2006. 164–166

  176. Kondo S, Fukaya K, Yamada K, et al. Damageless Cu chemical mechanical polishing for porous SiOC/Cu interconnects. Microelectron Eng, 2007, 84(11): 2615–2619

    Article  Google Scholar 

  177. Ueki M, Tagami M, Ito F, et al. High Performance Cu interconnects with damage-less full molecular-pore-stack (MPS) SiOCH for 32 nm-node LSIs and beyond. In: IEDM, San Francisco, 2008. 619–622

  178. Gambino J, Sullivan T D, Chen F, et al. Reliability of Cu interconnects with Ta implant. In: IITC, Burlingame, 2007. 22–24

  179. Yeh M S, Chang H I, Shih C H, et al. Effect of Cu line capping process on stress migration reliability. In: IITC, Burlingame, 2006. 113–115

  180. Chattopadhyay K, Schravendijk B V, Mountsier T W, et al. In-situ formation of a Copper Silicide cap for TDDB and electromigration improvement. In: IRPS, San Jose, 2006. 128–130

  181. Wu H J, O’loughlin J, Shaviv R, et al. Self-aligned barrier improves interconnect reliability. Semiconductor International. http://www.semiconductor.net/article/CA6553126.html 2008

  182. Shao I, Cotte J M, Haran B, et al. An alternative low resistance MOL technology with electroplated rhodium as contact plugs for 32 nm CMOS and beyond. In: IITC, Burlingame CA, 2007. 102–104

  183. Fukushima T, Kikuchi H, Yamada Y, et al. New three-dimensional integration technology based on reconfigured wafer-on-wafer bonding technique. In: IEDM Washington, 2007. 985–988

  184. Chai Y, Chan P C H. High electromigration-resistant Copper/Carbon nanotube composite for interconnect application. In: IEDM, San Francisco, 2008. 607–610

  185. Close G F, Wong H S P. Fabrication and characterization of Carbon nanotube interconnects. In: IEDM, Washington, 2007. 203–206

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ru Huang.

Additional information

Supported by the National Natural Science Foundation of China (Grant Nos. 60625403, 90207004), and the National Basic Research Program of China (Grant No. 2006CB302701)

Rights and permissions

Reprints and permissions

About this article

Cite this article

Huang, R., Wu, H., Kang, J. et al. Challenges of 22 nm and beyond CMOS technology. Sci. China Ser. F-Inf. Sci. 52, 1491–1533 (2009). https://doi.org/10.1007/s11432-009-0167-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11432-009-0167-9

Keywords

Navigation