Skip to main content
Log in

Phase change memory

  • Special Issue
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

Phase change memory (PCM) is a non-volatile solid-state memory technology based on the large resistivity contrast between the amorphous and crystalline states in phase change materials. We present the physics behind this large resistivity contrast and describe how it is being exploited to create high density PCM. We address the challenges facing this technology, including the design of PCM cells, fabrication, device variability, thermal cross-talk and write disturb. We discuss the scalability, assess the performance, and examine the reliability of PCM including data retention, multi-bit storage and endurance.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Kilby J. Semiconductor Device. US Patent 3,643,138

  2. Dennard R H. Field-Effect Transistor Memory. US Patent 3,387,286

  3. Moore G E. Cramming more components onto integrated circuits. Electronics, 1965, 38: 114–117

    Google Scholar 

  4. Hsiao Y H, Lue H T, Hsu T H, et al. A critical examination of 3D stackable NAND flash memory architectures by simulation study of the scaling capability. In: IEEE International Memory Workshop, IMW. 2010. 2010. 5488390

  5. Raoux S, Burr G. W, Breitwisch M J, et al. Phase change random access memory: a scalable technology. IBM J Res Dev, 2008, 52: 465–480

    Article  Google Scholar 

  6. Ovshinsky S R. Reversible electrical switching phenomena in disordered structures. Phys Rev Lett, 1968, 21: 1450

    Article  Google Scholar 

  7. Wang W J, Shi L P, Zhao R, et al. Fast phase transitions induced by picosecond electrical pulses on phase change memory cells. Appl Phys Lett, 2008, 93: 043121

    Article  Google Scholar 

  8. Adler D, Henisch H K, Mott N. Mechanism of threshold switching in amorphous alloys. Rev Mod Phys, 1978, 50: 209–220

    Article  Google Scholar 

  9. Kalb J, Spaepen F, Wuttig M. Atomic force microscopy measurements of crystal nucleation and growth rates in thin films of amorphous Te alloys. Appl Phys Lett, 2004, 84: 5240–5242

    Article  Google Scholar 

  10. Pirovano A, Lacaita A L, Merlani D, et al. Electronic switching effect in phase-change memory cells. In: IEDM Technical Digest pages, 2002. 923–926

  11. Karpov V G, Kryukov Y A, Savransky S D, et al. Nucleation switching in phase change memory. Appl Phys Lett, 2007, 90: 123504

    Article  Google Scholar 

  12. Ielmini D, Lacaita A L. Physical modeling of conduction and switching in chalcogenide glasses. In: EPCOS 2007, 2007. 15

  13. Lankhorst M H R, Ketelaars B W S M M, Wolters R A M. Low-cost and nanoscale non-volatile memory concept for future silicon chips. Nat Mater, 2005, 4: 347–352

    Article  Google Scholar 

  14. Krebs D, Raoux S, Rettner C, et al. Set characteristics of phase change bridge devices. In: Proceedings of the Materials Research Society, volume 1072, 2008. G06–07

    Google Scholar 

  15. Yu D, Brittman S, Lee J S, et al. Minimum voltage for threshold switching in nanoscale phase change memory. Nano Lett, 2008, 8: 3429–3433

    Article  Google Scholar 

  16. Kalb J A, Spaepen F, Wuttig M. Kinetics of crystal nucleation in undercooled droplets of Sb- and Te-based alloys used for phase change recording. J Appl Phys, 2005, 98: 054910

    Article  Google Scholar 

  17. Lankhorst M H R, Ketelaars B W S M M, Wolters R A M. Low-cost and nanoscale non-volatile memory concept for future silicon chips. Nat Mater, 2005, 4: 347–352

    Article  Google Scholar 

  18. Coombs J H, Jongenelis A P J M, Vanesspiekman W, et al. Laser-induced crystallization phenomena in GeTe-based alloys. 2. Composition dependence of nucleation and growth. J Appl Phys, 1995, 78: 4918–4928

    Article  Google Scholar 

  19. Wuttig M, Detemple R, Friedrich I, et al. The quest for fast phase change materials. J Magn Magn Mater, 2002, 249: 492–498

    Article  Google Scholar 

  20. Kalb J, Spaepen F, Wuttig M. Atomic force microscopy measurements of crystal nucleation and growth rates in thin films of amorphous Te alloys. Appl Phys Lett, 2004, 84: 5240–5242

    Article  Google Scholar 

  21. Raoux S, Wuttig M. Phase Change Materials: Science and Applications. New York: Springer-Verlag, 2009

    Book  Google Scholar 

  22. Pellizzer F, Pirovano A, Ottogallic F, et al. Novel μ trench phase-change memory cell for embedded and stand-alone non-volatile memory applications. In: Symposium on VLSI Technology, 2004. 18–19

  23. Ahn S J, Hwang Y N, Song Y J, et al. Highly reliable 50 nm contact cell technology for 256 mb pram. In: Symposium on VLSI Technology, 2005. 98–99

  24. Jeong C W, Ahn S J, Hwang Y N, et al. Highly reliable ring-type contact for high-density phase change memory. Jap J Appl Phys 1, 2006, 45: 3233–3237

    Article  Google Scholar 

  25. Chen Y C, Rettner C T, Raoux S, et al. Ultra-thin phase-change bridge memory device using GeSb. In: IEDM Technical Digest, IEDM. 2006. 346910, 2006

  26. Breitwisch M, Nirschl T, Chen C F, et al. Novel lithography-independent pore phase change memory. In: Symposium on VLSI Technology, 2007. 100–101

  27. Happ T D, Breitwisch M, Schrott A, et al. Novel one-mask self-heating pillar phase change memory. In: Symposium on VLSI Technology, 2006. 120–121

  28. Tyson S, Wicker G, Lowrey T, et al. Nonvolatile, high density, high performance phase change memory. In: 2000 IEEE Aerospace Conference Proceedings, volume 5, 2000. 385–390

    Article  Google Scholar 

  29. Cho S L, Yi J H, Ha Y H, et al. Highly scalable on-axis confined cell structure for high density pram beyond 256 mb. In: Symposium on VLSI Technology, 2005. 96–97

  30. Horii H, Yi J H, Park J H, et al. A novel cell technology using n-doped GeSbTe films for phase change ram. In: Symposium on VLSI Technology, 2003. 177–178

  31. Breitwisch M, Nirschl T, Chen C F, et al. Novel lithography-independent pore phase change memory. In: Symposium on VLSI Technology, 2007. 100–101

  32. Im D H, Lee J I, Cho S L, et al. A unified 7.5 nm dash-type confined cell for high performance pram device. In: IEDM Technical Digest, 2008. 4796654

  33. Zhang T, Song Z T, Gong Y F, et al. Phase change memory based on Ge2Sb2Te5 capped between polygermanium layers. Appl Phys Lett, 2008, 92: 113503

    Article  Google Scholar 

  34. Czubatyj W, Lowrey T, Kostylev S, et al. Current reduction in Ovonic memory devices. In: EPCOS2006, 2006

  35. Lee S, Song Y J, Hwang Y N, et al. Effect of the bottom electrode contact (BEC) on the phase transformation of N2 doped Ge2Sb2Te5 (N-GST) in a phase-change random access memory. In: MRS Proceedings, volume 830, 2005. D7.9.1

  36. Oh J H, Park J H, Lim Y S, et al. Full integration of highly manufacturable 512 mb pram based on 90 nm technology. In: IEDM Technical Digest, 2006. 2.6

  37. Ahn S J, Song Y J, Jeong C W, et al. Highly manufacturable high density phase change memory of 64 mb and beyond. In: IEDM Technical Digest, 2004. 907–910

  38. Pellizzer F, Benvenuti A, Gleixner B, et al. A 90 nm phase change memory technology for stand-alone non-volatile memory applications. In: Symposium on VLSI Technology, 2006. 122–123

  39. Schmidt V, Riel H, Senz S, et al. Realization of a silicon nanowire vertical surround-gate-field-effect transistor. Small, 2006, 2: 85–88

    Article  Google Scholar 

  40. Huang X, Lee W C, Kuo C, et al. Sub 50-nm FinFET: PMOS. In: IEDM Technical Digest, 1999. 67–70

  41. Gopalakrishnan K, Shenoy R S, Rettner C T, et al. Highly scalable novel access device based on mixed ionic electronic conduction (MIEC) materials for high density phase change memory (PCM) arrays. In: Symposium on VLSI Technology, 2010. 204–205

  42. Kim R Y, Kim H G, Yoon S G. Structural properties of Ge2Sb2Te5 thin lms by metal organic chemical vapor deposition for phase change memory applications. Appl Phys Lett, 2006, 89: 102107

    Article  Google Scholar 

  43. Lee J I, Park H, Cho S L, et al. Highly scalable phase change memory with CVD GeSbTe for sub 50 nm generation. In: Symposium on VLSI Technology, 2007. 102–103

  44. Russo U, Ielmini D, Redaelli A, et al. Modeling of programming and read performance in phase-change memories—Part II: Program disturb and mixed-scaling approach. IEEE Trans Electr Dev, 2008, 55: 515–522

    Article  Google Scholar 

  45. Kim K, Ahn S J. Reliability investigations for manufacturable high density PRAM. In: IEEE International Reliability Physics Symposium, 2005. 157–162

  46. Gleixner B. Phase change memory reliability. In: NVSMW 2007, 2007

  47. Kim I S, Cho S L, Im D H, et al. High performance PRAM cell scalable to sub-20 nm technology with below 4F2 cell size, extendable to DRAM applications. In: Symposium on VLSI Technology, 2010. 202–203

  48. Russo U, Ielmini D, Redaelli A, et al. Intrinsic data retention in nanoscaled phase-change memories—Part I: Monte Carlo model for crystallization and percolation. IEEE Trans Electr Dev, 2006. 53: 3032–3039

    Article  Google Scholar 

  49. Russo U, Ielmini D, Lacaita A L. Analytical modeling of chalcogenide crystallization for PCM data-retention extrapolation. IEEE Trans Electr Dev, 2007, 54: 2769–2777

    Article  Google Scholar 

  50. Pirovano A, Lacaita A L, Pellizzer F, et al. Low-field amorphous state resistance and threshold voltage drift in chalcogenide materials. IEEE Trans Electr Dev, 2004, 51: 714–719

    Article  Google Scholar 

  51. Kang D H, Lee J H, Kong J H, et al. Two-bit cell operation in diode-switch phase change memory cells with 90 nm technology. In: Symposium on VLSI Technology, 2008. 4588577

  52. Ielmini D, Sharma D, Lavizzari S, et al. Physical mechanism and temperature acceleration of relaxation effects in phase-change memory cells. In: 2008 IEEE International Reliability Physics Symposium (IRPS), 2008. 597–603

  53. Ielmini D, Zhang Y G. Analytical model for subthreshold conduction and threshold switching in Chalcogenide-based memory devices. J Appl Phys, 2007, 102: 054517

    Article  Google Scholar 

  54. Lai S K. Flash memories: Successes and challenges. IBM J Res Dev, 2008, 52: 529–533

    Article  Google Scholar 

  55. International technical roadmap for semiconductors. www.itrs.net/Links/2008ITRS/Update/2008Update.pdf, 2008

  56. Nirschl T, Philipp J B, Happ T D, et al. Write strategies for 2 and 4-bit multi-level phase-change memory. In: IEDM Technical Digest, 2007. 17.5

  57. Bedeschi F, Fackenthal R, Resta C, et al. A multi-level-cell bipolar-selected phase-change memory. In: ISSCC Technical Digest, 2008. 23.5

  58. Kostylev S A, Czubatyj W, Lowrey T. Method of eliminating drift in phase-change memory. US Patent #6,914,801, July 5, 2005

  59. Johnson M, Al-Shamma A, Bosch D, et al. 512-mb PROM with a three-dimensional array of diode/antifuse memory cells. IEEE J Solid State Circ, 2003, 38: 1920–1928

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jing Li.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Li, J., Lam, C. Phase change memory. Sci. China Inf. Sci. 54, 1061–1072 (2011). https://doi.org/10.1007/s11432-011-4223-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11432-011-4223-x

Keywords

Navigation