Skip to main content
Log in

Fully depleted SOI (FDSOI) technology

  • Review
  • Special Focus on Advanced Microelectronics Technology
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

Fully depleted SOI (FDSOI) has become a viable technology not only for continued CMOS scaling to 22 nm node and beyond but also for improving the performances of legacy technology when retrofitting to old technology nodes. In this paper, we provide an overview of FDSOI technology, including the benefits and challenges in FDSOI design, manufacturing, and ecosystem. We articulate that FDSOI is potential cornerstone for China to catch up and leapfrog in semiconductor technology.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Semiconductor Industry Association Global Sales Report. http://www.semiconductors.org/industry statistics/global sales report/

  2. Moore G E. Cramming more components onto integrated circuits. Electronics, 1965, 38: 82–85

    Google Scholar 

  3. Auth C, Allen C, Blattner A, et al. A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors. In: Proceedings of VLSI 2012 Symposium on Technology (VLSIT), Honolulu, 2012. 131–132

    Chapter  Google Scholar 

  4. Dennard R H, Gaensslen F H, Rideout V L, et al. Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J Solid-State Circuits, 1974, 9: 256–268

    Article  Google Scholar 

  5. Wann H, Ko P K, Hu C. Gate-induced band-to-band tunneling leakage current in LDD MOSFETs. In: Technical Digest of International Electron Devices Meeting, San Francisco, 1992. 147–150

    Google Scholar 

  6. Bhavnagarwala A, Kosonocky S, Radens C, et al. Fluctuation limits & scaling opportunities for CMOS SRAM cells. In: Technical Digest of International Electron Devices Meeting, Washington DC, 2005. 659–662

    Google Scholar 

  7. Choi Y-K, Asano K, Lindert N, et al. Ultra-thin body SOI MOSFET for deep-sub-tenth micron era. IEEE Electron Dev Lett, 1999, 21: 254–255

    Article  Google Scholar 

  8. Noel J-P, Thomas O, Jaud M-A, et al. UTB-FDSOI device architecture dedicated to low power design techniques. In: Proceedings of the European Solid-State Device Research Conference (ESSDERC), Sevilla, 2010. 210–213

    Google Scholar 

  9. Tsutsui G, Saitoh M, Hiramoto T. Experimental study on superior mobility in (110)-oriented UTB SOI pMOSFETs. IEEE Electron Dev Lett, 2005, 26: 836–838

    Article  Google Scholar 

  10. Skotnicki T, Hutchby J A, King T-J, et al. The end of CMOS scaling: toward the introduction of new materials and structural changes to improve MOSFET performance. IEEE Circuits Dev Mag, 2006, 21: 16–26

    Article  Google Scholar 

  11. Kilchytska V, Md Arshad M K, Makovejev S, et al. Ultra-thin body and thin-BOX SOI CMOS technology analog figures of merit. Solid-State Electron, 2012, 70: 50–58

    Article  Google Scholar 

  12. Fenouillet-Beranger C, Denormel S, Icard B, et al. Fully-depleted SOI technology using high-K and single-metal gate for 32nm node LSTP applications featuring 0.179µm2 6T-SRAM bitcell. In: Technical Digest of International Electron Devices Meeting, Washington DC, 2007. 267–270

    Google Scholar 

  13. Skotnicki T. Competitive SOC with UTBB SOI. In: Proceedings of 2011 IEEE International SOI Conference (SOI), Tempe, 2011. 1–61

    Chapter  Google Scholar 

  14. Liu Q, Yagashita A, Loubet N, et al. Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond. In: Proceedings of 2010 Symposium on VLSI Technology (VLSIT), Honolulu, 2010. 61–62

    Chapter  Google Scholar 

  15. Grenouillet L, Vinet M, Gimbert J, et al. UTBB FDSOI transistors with dual STI and shrinked back gate architecture for a multi-VT strategy at 20nm node and below. In: Technical Digest of International Electron Devices Meeting, San Francisco, 2012. 64–67

    Google Scholar 

  16. Andrieu F, Weber O, Mazurier J, et al. Low leakage and low variability ultra-thin body and buried oxide (UT2B) SOI technology for 20nm low power CMOS and beyond. In: Proceedings of 2010 Symposium on VLSI Technology (VLSIT), Honolulu, 2010. 57–58

    Chapter  Google Scholar 

  17. Numata T, Noguchi M, Oowaki Y, et al. Back gate engineering for suppression of threshold voltage fluctuation in fully-depleted SOI MOSFETs. In: Proceedings of 2000 IEEE International SOI Conference, Wakefield, 2000. 78–79

    Google Scholar 

  18. Sugii N, Tsuchiya R, Ishigaki T, et al. Comprehensive study on Vth variability in silicon on thin BOX (SOTB) CMOS with small random-dopant fluctuation: finding a way to further reduce variation. In: Proceedings of 2008 International Electron Devices Meeting, San Francisco, 2008. 1–4

    Chapter  Google Scholar 

  19. Sugii N, Tsuchiya R, Ishigaki T, et al. Local Vth variability and scalability in silicon-on-thin-BOX (SOTB) CMOS with small random-dopant fluctuation. IEEE Trans Electron Dev, 2010, 57: 835–845

    Article  Google Scholar 

  20. Doris B, Ieong M, Zhu T, et al. Device design considerations for ultra-thin SOI MOSFETs. In: Technical Digest of International Electron Devices Meeting, Washington DC, 2003. 27.3.1–27.3.4

    Google Scholar 

  21. Schwarzenbach W, Cauchy X, Boedt F, et al. Excellent silicon thickness uniformity on ultra-thin SOI for controlling VT variation of FDSOI. In: Proceedings of IEEE International Conference on IC Design and Technology, Kao-hsiung, 2011. 1–3

    Google Scholar 

  22. Nayfeh H M, Singh D V, Hergenrother J M, et al. Effect of tensile uniaxial stress on the electron transport properties of deeply scaled FD-SOI n-Type MOSFETs. IEEE Electron Dev Lett, 2006, 27: 288–290

    Article  Google Scholar 

  23. Barral V, Poiroux T, Andrieu F, et al. Strained FDSOI CMOS technology scalability down to 2.5nm film thickness and 18nm gate length with a TiN/HfO2 gate stack. In: Technical Digest of International Electron Devices Meeting, Washington DC, 2007. 61–64

    Google Scholar 

  24. Uchida K, Watanabe H, Kinoshita A, et al. Experimental study on carrier transport mechanism in ultrathin-body SOI n-and p-MOSFETs with SOI thickness less than 5 nm. In: Technical Digest of International Electron Devices Meeting, San Francisco, 2002. 47–50

    Chapter  Google Scholar 

  25. Faynot O, Andrieu F, Weber O, et al. Planar fully depleted SOI technology: a powerful architecture for the 20nm node and beyond. In: Technical Digest of International Electron Devices Meeting, San Francisco, 2010. 50–53

    Google Scholar 

  26. Fenouillet-Beranger C, Perreau P, Pham-Nguyen L, et al. Hybrid FDSOI/Bulk high-k/Metal gate platform for Low Power (LP) multimedia technology. In: Technical Digest of International Electron Devices Meeting, Baltimore, 2009. 1–4

    Google Scholar 

  27. Majumdar A, Wang X, Kumar A, et al. Gate length and performance scaling of undoped-body extremely thin SOI MOSFETs. IEEE Electron Dev Lett, 2009, 30: 413–415

    Article  Google Scholar 

  28. Majumdar A, Ren Z, Koester S J, et al. Undoped-body extremely thin SOI MOSFETs with back gates. IEEE Trans Electron Dev, 2009, 56: 2270–2276

    Article  Google Scholar 

  29. Cheng K, Khakifirooz A, Kulkarni P, et al. Fully depleted extremely thin SOI technology fabricated by a novel integration scheme featuring implant-free, zero-silicon-loss, and faceted raised source/drain. In: Proceedings of 2009 Symposium on VLSI Technology (VLSIT), Honolulu, 2009. 212–213

    Google Scholar 

  30. Khakifirooz A, Cheng K, Nagumo T, et al. Strain engineered extremely thin SOI (ETSOI) for high-performance CMOS. In: Proceedings of 2012 Symposium on VLSI Technology (VLSIT), Honolulu, 2012. 117–118

    Chapter  Google Scholar 

  31. Cheng K, Khakifirooz A, Kulkarni P, et al. Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications. In: Proceedings of 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, 2009. 49–52

    Google Scholar 

  32. Cheng K, Khakifirooz A, Loubet N, et al. High performance extremely thin SOI (ETSOI) hybrid CMOS with Si channel NFET and strained sige channel PFET. In: Technical Digest of International Electron Devices Meeting, San Francisco, 2012. 18.1.1–18.4

    Google Scholar 

  33. Khakifirooz A, Cheng K, Kulkarni P, et al. Challenges and opportunities of extremely thin SOI (ETSOI) CMOS technology for future low power and general purpose system-on-chip applications. In: Proceedings of International Symposium on VLSI Technology Systems and Applications (VLSI-TSA), Hsinchu, 2010. 110–111

    Google Scholar 

  34. Ponoth S, Vinet M, Grenouillet L, et al. Implant approaches and challenges for 20nm node and beyond ETSOI devices. In: Proceedings of 2011 IEEE International SOI Conference, Tempe, 2011. 1–2

    Chapter  Google Scholar 

  35. Chau R, Kavalieros J, Doyle B, et al. A 50nm depleted-substrate CMOS Transistor (DST). In: Technical Digest of International Electron Devices Meeting, Washington DC, 2001. 29.1.1–29.1.4

    Google Scholar 

  36. Krivokapic Z, Maszara W, Arasnia F, et al. High performance 25nm FDSOI devices with extremely thin silicon channel. In: Proceedings of 2003 Symposium on VLSI Technology (VLSIT), Kyoto, 2003. 131–132

    Google Scholar 

  37. Chen H, Chang C, Huang C, et al. Novel 20nm hybrid SOI/bulk CMOS technology with 0.183µm2 6T-SRAM cell by immersion lithography. In: Proceedings of 2005 Symposium on VLSI Technology (VLSIT), Kyoto, 2005. 16–17

    Google Scholar 

  38. Fenouillet C, Perreau P, Denorme S, et al. Impact of a 10 nm ultrathin BOX (UTBOX) and ground plane on FDSOI devices for 32 nm node and below. In: Proceedings of the European Solid-State Device Research Conference (ESSDERC), Athens, 2009. 89–91

    Google Scholar 

  39. Fenouillet C, Thomas O, Perreau P, et al. Efficient multi-VT FDSOI technology with UTBOX for low power circuit design. In: Proceedings of 2010 Symposium on VLSI Technology (VLSIT), Honolulu, 2010. 65–66

    Chapter  Google Scholar 

  40. Skotnicki T, Fenouillet-Beranger C, Gallon C, et al. Innovative materials, devices, and CMOS technologies for lowpower mobile multimedia. IEEE Trans Electron Dev, 2008, 55: 96–130

    Article  Google Scholar 

  41. Leobandung E, Barth E, Sherony M, et al. High performance 0.18 pm SOI CMOS technology. In: Technical Digest of International Electron Devices Meeting, Washington DC, 1999. 679–682

    Google Scholar 

  42. Puri R, Chuang C T. Hysteresis effect in pass-transistor based partially-depleted SOI CMOS circuits. In: Proceedings of 1998 IEEE International SOI Conference, Stuart, 1998. 103–104

    Google Scholar 

  43. Skotnicki T, Fenouillet-Beranger C, Gallon C, et al. Innovative materials, devices, and CMOS technologies for lowpower mobile multimedia. IEEE Trans Electron Dev, 2008, 55: 96–130

    Article  Google Scholar 

  44. Khakifirooz A, Antoniadis D A. MOSFET performance scaling—Part 1: historical trends. IEEE Trans Electron Dev, 2008, 55: 1391–1400

    Article  Google Scholar 

  45. Pelgrom M. Matching properties of MOS transistors. IEEE J Solid-State Circuits, 1989, 24: 1433–1439

    Article  Google Scholar 

  46. Cheng K, Khakifirooz A. FDSOI technology and its implications to analog and digital design. In: Jiang X C, ed. Digitally-Assisted Analog and Analog-Assisted Digital IC Design. Cambridge: Cambridge University Press, 2015. 86

    Google Scholar 

  47. Kuhn K J. Considerations for ultimate CMOS scaling. IEEE Trans Electron Dev, 2012, 59: 1813–1828

    Article  Google Scholar 

  48. Yamamoto Y, Makiyama H, Shinohara H, et al. Ultralow-voltage operation of Silicon-on-Thin-BOX (SOTB) 2Mbit SRAM down to 0.37 V utilizing adaptive back bias. In: Proceedings of 2013 Symposium on VLSI Technology (VLSIT), Kyoto, 2013. T212–T213

    Google Scholar 

  49. Khakifirooz A, Cheng K, Jagannathan B, et al. Fully depleted extremely thin SOI for mainstream 20nm low-power technology and beyond. In: 2010 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, 2010. 152–153

    Chapter  Google Scholar 

  50. Ghani T, Armstrong M, Auth C, et al. A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors. In: Technical Digest of International Electron Devices Meeting, Washington DC, 2003. 11.6.1–11.6.3

    Google Scholar 

  51. Lee W-H, Waite A, Nii H, et al. High performance 32nm SOI CMOS with high-k/metal gate and 0.149µm2 SRAM and ultra low-k back end with eleven levels of copper. In: Technical Digest of International Electron Devices Meeting, Washington DC, 2005. 56–59

    Google Scholar 

  52. Narasimha S, Chang P, Ortolland C, et al. 22nm high-performance SOI technology featuring dual-embedded stressors, epi-plate high-k deep-trench embedded DRAM and self-aligned via 15LM BEOL. In: Technical Digest of International Electron Devices Meeting, San Francisco, 2012. 3.3.1–3.3.4

    Google Scholar 

  53. Leobandung E, Nayakama H, Mocuta D, et al. High performance 65 nm SOI technology with dual stress line and low capacitance SRAM cell. In: 2005 Symposium on VLSI Technology, Digest of Technical Papers, Kyoto, 2005. 126–127

    Chapter  Google Scholar 

  54. Ota K, Sugihara K, Sayama H, et al. Novel locally strained channel technique for high performance 55 nm CMOS. In: Proceedings of International Electron Devices Meeting, San Francisco, 2002. 27–30

    Chapter  Google Scholar 

  55. Lim K-Y, Lee H, Ryu C, et al. Novel stress-memorization-technology (SMT) for high electron mobility enhancement of gate last high-k/metal gate devices. In: Proceedings of International Electron Devices Meeting, San Francisco, 2010. 10.1.1–10.1.4

    Google Scholar 

  56. Jan C-H, Bhattacharya U, Brain R, et al. A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications. In: Proceedings of International Electron Devices Meeting, San Francisco, 2012. 3.1.1–3.1.4

    Google Scholar 

  57. Natarajan S, Agostinelli M, Akbar S, et al. A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588µm2 SRAM cell size. In: Proceedings of International Electron Devices Meeting, San Francisco, 2014. 3.7.1–3.7.3

    Google Scholar 

  58. Jan C-H, Al-amoody F, Chang H-Y, et al. A 14 nm SoC platform technology featuring 2nd generation tri-gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 SRAM cells, optimized for low power, high performance and high density SoC products. In: Proceedings of 2015 Symposium on VLSI Technology, Kyoto, 2015. T12–T13

    Chapter  Google Scholar 

  59. Liu Q, DeSalvo B, Morin P, et al. FDSOI CMOS devices featuring dual strained channel and thin BOX extendable to the 10nm node. In: Proceedings of International Electron Devices Meeting, San Francisco, 2014. 9.1.1–9.1.4

    Google Scholar 

  60. Kube M, Hori R, Minato O, et al. A threshold voltage controlling circuit for short channel MOS integrated circuits. In: Technical Digest of 1976 IEEE International Solid-State Circuits Conference, Philadelphia, 1976. 54–55

    Chapter  Google Scholar 

  61. Thompson S, Young I, Greason J, et al. Dual threshold voltage and substrate bias: Keys to high performance, low power, 0.1 µm logic designs. In: 1997 Symposium on VLSI Technology, Digest of Technical Papers, Kyoto, 1997. 69–70

    Chapter  Google Scholar 

  62. Nomura S, Tachibana F, Fujita T, et al. A 9.7mW AAC-decoding, 620mW H.264 720p 60fps decoding, 8-core media processor with embedded forward-body-biasing and power-gating circuit in 65nm CMOS technology. In: IEEE International Solid-State Circuits Conference, Digest of Technical Papers, San Francisco, 2008. 262–612

    Google Scholar 

  63. Sumita M, Sakiyama S, Kinoshita M, et al. Mixed body-bias technique with fixed V t and I ds generation circuits. IEEE J Solid-State Circuits, 2005, 40: 60–66

    Article  Google Scholar 

  64. Jacquet D, Hasbani F, Flatresse P, et al. A 3 GHz dual core processor ARM CortexTM-A9 in 28 nm UTBB FDSOI CMOS with ultra-wide voltage range and energy efficiency optimization. IEEE J Solid-State Circuits, 2014, 49: 812–826

    Article  Google Scholar 

  65. Wilson R, Beigne E, Flatresse P, et al. A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, Embedding FMAX Tracking. In: 2014 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, San Francisco, 2014. 452–453

    Chapter  Google Scholar 

  66. Ishibashi K, Sugii N, Usami K, et al. A perpetuum mobile 32bit CPU with 13.4pJ/cycle, 0.14µA sleep current using reverse body bias assisted 65nm SOTB CMOS technology. In: 2014 IEEE COOL Chips XVII, Yokohama, 2014. 1–3

    Google Scholar 

  67. Beigne E, Valentian A, Miro-Panades I, et al. A 460MHz at 397mV, 2.6GHz at 1.3V, 32 bits VLIW DSP embedding F max tracking. IEEE J Solid-State Circuits, 2015, 50: 125–136

    Article  Google Scholar 

  68. Clerc S, Saligane M, Abouzeid F, et al. A 0.33V/-40°C process/temperature closed-loop compensation SoC embedding all-digital clock multiplier and DC-DC converter exploiting FDSOI 28nm back-gate biasing. In: Proceedings of 2015 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, 2015. 1–3

    Google Scholar 

  69. SFARDS. SFARDS new 28nm BTC & LTC dual-algorithm ASIC unveiled. http://www.sfards.com/detail?id=26

  70. Bitcoin Wiki. ASIC. https://en.bitcoin.it/wiki/ASIC

  71. Miyazaki M, Kao J, Chandrakasan A P. A 175mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture. In: 2002 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, San Francisco, 2002

    Google Scholar 

  72. Keshavarizi A, Narendra S, Bloechel B, et al. Forward body bias for microprocessors in 130nm technology generation and beyond. IEEE J Solid-State Circuits, 2003, 38: 696–701

    Article  Google Scholar 

  73. Soitec. Press release “Soitec and Shin-Etsu Handotai announce Smart CutTM licensing extension and expanded technology cooperation”. 2012. http://www.soitec.com/en/news/press-releases/soitec-and-shin-etsu-handotai-announcesmart-cut-licensing-extension-and-expanded-technology-cooperation-1079/

  74. Shin-Etsu Handotai Co., Ltd. Ultra Thin Body and Buried oxide substrate supply chain. FD-SOI Workshop, Kyoto, 2013. http://www.soiconsortium.org/fully-depleted-soi/presentations/june-2013/Nobuhiko%20Noto%20-%20UTBB-%20Wafer SEH June2013.pdf

  75. Soitec. Press release “Soitec and SunEdison enter into patent license agreement”. 2013. http://www.soitec.com/en-/news/press-releases/soitec-and-sunedison-enter-into-patent-license-agreement-1390/

  76. Seo K-I, Haran B, Gupta D, et al. A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI. In: 2014 Symposium on VLSI Technology: Digest of Technical Papers, Honolulu, 2014. 1–2

    Google Scholar 

  77. Seo S-C, Edge L F, Kanakasabapathy S, et al. Full metal gate with borderless contact for 14 nm and beyond. In: Proceedings of 2011 Symposium on VLSI Technology (VLSIT), Honolulu, 2011. 36–37

    Google Scholar 

  78. Kamohara S, Sugii N, Yamamoto Y, et al. Ultralow-voltage design and technology of silicon-on-thin-buried-oxide (SOTB) CMOS for highly energy efficient electronics in IoT era. In: 2014 Symposium on VLSI Technology: Digest of Technical Papers, Honolulu, 2014. 1–2

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kangguo Cheng.

Additional information

The views or opinions stated in this paper are that of authors and do not reflect the position of authors’ affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Cheng, K., Khakifirooz, A. Fully depleted SOI (FDSOI) technology. Sci. China Inf. Sci. 59, 061402 (2016). https://doi.org/10.1007/s11432-016-5561-5

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s11432-016-5561-5

Keywords

Navigation