Skip to main content
Log in

Abstract

This paper describes instruction set extensions for the acceleration of MPEG-4 algorithms on programmable (RISC-) CPUs. MPEG-4 standardizes audio and video compression schemes for a variety of bit rates and scenarios. As MPEG-4 targets a much broader range of different applications than previously defined hybrid video coding standards like H.263 or MPEG-2, it employs a much higher number of different algorithms and coding modes. Therefore, MPEG-4 implementations will require a more software-oriented approach to be efficient. However, the total computational load for an optimized implementation of an MPEG-4 video codec is expected to exceed the performance levels of today's multimedia signal processors, making further hardware acceleration a necessity. For that purpose, we propose a number of instruction set extensions that add function-specific blocks to the data path of a CPU. These dedicated modules are highly adapted to the most computation-intensive processing schemes of MPEG-4, such as DCT, motion compensation, padding, shape coding, or bitstream parsing. The increased functionality of basic instructions results in a significant speed-up over standard RISC instruction sets, thus making MPEG-4 implementations feasible on programmable processor platforms. Possible target architectures include VLIW multimedia processors, MIMD-style multiprocessors, or coprocessor architectures

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. ITU-T Draft Recommendation H.263, "Video coding for low bitrate communication," July 1995.

  2. ISO/IEC 11172-1/-2/-3, Information Technology, "Coding of moving pictures and associated audio for digital storage media at up to about 1.5 Mbit/s: Systems/Video/Audio," 1994.

  3. ISO/IEC 13818-2, "Generic coding of moving pictures and associated audio, (MPEG-2), Part 2: Video," Nov. 1993.

  4. ISO/IEC JTC11/SC29/WG11 N2323, "Overview of the MPEG-4 standard," July 1998.

  5. ISO/IEC JTC11/SC29/WG11 W2502, "ISO/IEC 14496-2. Final draft international standard. Part 2: Visual," Atlantic-City, Oct. 1998.

  6. L. Chiariglione, "Impact of MPEG standards on multimedia industry," Multimedia Signal Processing, Proceedings of the IEEE, Vol. 86, No. 6, pp. 1222–1227, June 1998.

    Google Scholar 

  7. B.G. Haskell, P.G. Howard, Y.A. Lecun, A. Puri, J. Ostermann, M.R. Civanlar, L. Rabiner, L. Bottou and P. Haffner, "Image and video coding-emerging standards and beyond," IEEE Trans. on Circuits and Systems for Video Technology, Vol. 8, No. 7, pp. 814–837, Nov. 1998.

    Article  Google Scholar 

  8. P. Pirsch and H.-J. Stolberg, "VLSI implementations of image and video multimedia processing systems," IEEE Trans. on Circuits and Systems for Video Technology, Vol. 8, No. 7, pp. 878–891, Nov. 1998.

    Article  Google Scholar 

  9. R.B. Lee, "Subword parallelism with MAX-2," IEEE Micro, Vol. 16, No. 4, pp. 51–59, Aug. 1996.

    Article  Google Scholar 

  10. P. Pirsch, A. Freimann, and M. Berekovic, "Multimedia signal processors," Proceedings SPIE: Multimedia Hardware Architectures, Vol. 3021, pp. 2–13, 1997.

    Article  Google Scholar 

  11. T.J. Dillon, Jr., "The VelociTI architecture of the TMS320C6x," Proc. Int. Conf. Signal Processing and Technol., San Diego, CA, Sept. 1997.

  12. A. Hotchkiss, A. Barbieri, R. Talluri, J. Gobert, B. Nezot, and B. Stabernack, "Set of complexity profiling results for MPEG-4 VM-8-and VCD-V08-compliant video decoder implementations," ISO/IEC JTC11/SC29/WG11 M3182, Feb. 1998.

  13. A. Hotchkiss, A. Barbieri, R. Talluri, J. Gobert, B. Nezot, and B. Stabernack, "Report of the ad-hoc group on binary shape complexity at simple profile," ISO/IEC JTC11/SC29/WG11 M3183, Feb. 1998.

  14. S. Rathnam and G. Slavenburg, "An architectural overviewof the programmable multimedia processor TM-1," Proc. Compcon, IEEE CS Press, pp. 319–326, 1996.

  15. K. Gaedke, H. Jeschke, and P. Pirsch, "A VLSI-based MIMD architecture of a multiprocessors system for real-time video processing applications," Journal of VLSI Signal Processing, Vol. 5, pp. 159–169, April 1993.

    Article  Google Scholar 

  16. J. Kneip, S. Bauer, J. Vollmer, B. Schmale, P. Kuhn, and M. Reißmann, "The MPEG-4 video coding standard-a VLSI point of view," Proc. of IEEEWorkshop on Signal Processing Systems SIPS'98, pp. 43–52, Oct. 1998.

  17. Motorola, Inc., AltiVec Technology Programming Environment Manual, 1998.

  18. I.Kuroda, "Processor architecture driven algorithm optimization for fast 2D-DCT," Proc. VLSI Signal Processing VIII, pp. 481–490, 1995.

  19. W.A. Chen, C.H. Smith, and S.C. Fralick, "A fast computational algorithm for the discrete cosine transform," IEEE Trans. Commun., Vol. COM-25, No. 9, pp. 1004–1011, 1977.

    Article  Google Scholar 

  20. Z. Wang, "Fast algorithms for the discrete W-transform and for the discrete fourier transform," IEEE Trans. Acoustics; Speech; and Signal Processing, Vol. ASSP-32, No. 4, pp. 803–816, 1984.

    Article  Google Scholar 

  21. C. Loeffler, A. Ligtenberg, and G.S. Moschytz, "Practical fast 1-D DCT algorithms with 11 multiplications," Proc. Int. Conf. Acoustics; Speech; and Signal Processing (ICASSP), pp. 988- 991, 1989.

  22. I. Kuroda and T. Nishitani, "Multimedia processors," Proc. IEEE, Vol. 86, No. 6, pp. 1203–1221, 1998.

    Article  Google Scholar 

  23. IEEE Standard Specification for the Implementation of 8 by 8 Inverse Discrete Cosine Transform, IEEE Standard 1180-1990, 1990.

  24. V. Bhaskaran and K. Konstantinides, Image and Video Compression Standards Algorithms and Architectures, Kluwer Academic Publishers, Boston/Dordrecht/London, 1995.

    Book  Google Scholar 

  25. H.-J. Stolberg, K. Nadehara, and I. Kuroda, "Fast VLD implementation on V830 RISC processor," Proc. IEICE General Conference, A-126, p. 128, March 1996.

    Google Scholar 

  26. V. Bhaskaran, K. Konstantinides, R.B. Lee, and J.P. Beck, "Algorithmical and architectural enhancements for real-time MPEG-1 decoding on a general purpose RISC workstation," IEEE Trans. on Circuits and Systems for Video Technology, Vol. 5, No. 5, pp. 380–386, Oct. 1995.

    Article  Google Scholar 

  27. U.Weiser, "Trade-off considerations and performance of Intel's MMXTM technology," Proceedings Notebook for HOT CHIPS VIII, pp. 147–155, 1996.

  28. K. Guttag, "The multiprocessor video processor MVP," in Proc. IEEE Hot Chips V, 1993.

  29. M. Berekovic, G. Meyer, Y. Guo, and P. Pirsch, "A multimedia RISC core for efficient bitstream parsing and VLD," Proceedings of SPIE: Multimedia Hardware Architectures, Vol. 3311, pp. 131–141, Jan. 1998.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Berekovic, M., Stolberg, HJ., Kulaczewski, M.B. et al. Instruction Set Extensions for MPEG-4 Video. The Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology 23, 27–49 (1999). https://doi.org/10.1023/A:1008188618930

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1008188618930

Keywords

Navigation