Skip to main content
Log in

Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

A novel approach for using an embedded processor to aid in deterministic testing of the other components of a system-on-a-chip (SOC) is presented. The tester loads a program along with compressed test data into the processor's on-chip memory. The processor executes the program which decompresses the test data and applies it to scan chains in the other components of the SOC to test them. The program itself is very simple and compact, and the decompression is done very rapidly, hence this approach reduces both the amount of data that must be stored on the tester and reduces the test time. Moreover, it enables at-speed scan shifting even with a slow tester (i.e., a tester whose maximum clock rate is slower than the SOC's normal operating clock rate). A procedure is described for converting a set of test cubes (i.e., test vectors where the unspecified inputs are left as X's) into a compressed form. A program that can be run on an embedded processor is then given for decompressing the test cubes and applying them to scan chains on the chip. Experimental results indicate a significant amount of compression can be achieved resulting in less data that must be stored on the tester (i.e., smaller tester memory requirement) and less time to transfer the test data from the tester to the chip.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. D. Bakalis, D. Nikolos, and X. Kavousianos, “Test Response Compaction by an Accumulator Behaving as a Multiple Input Non-Linear Feedback Shift Register, ” in Proc. of International Test Conference, 2000, pp. 804–811.

  2. F. Brglez, D. Bryan, and K. Kozminski, “Combinational Profiles of Sequential Benchmark Circuits, ” in Proc. of International Symposium on Circuits and Systems, 1989, pp. 1929–1934.

  3. A. Chandra and K. Chakrabarty, “Test Data Compression for System-on-a-Chip Using Golomb Codes, ” in Proc. of VLSI Test Symposium, 2000, pp. 113–120.

  4. A. Chandra and K. Chakrabarty, “Efficient Test Data Compression and Decompression for System-on-a-Chip Using Internal Scan Chains and Golomb Coding, ” in Proc. of Design, Automation, and Test in Europe (DATE), 2001.

  5. A. Chandra and K. Chakrabarty, “Frequency-Directed Run-Length Codes with Application to System-on-a-Chip Test Data Compression, ” in Proc. of VLSI Test Symposium, 2001, pp. 42–47.

  6. D. Das and N.A. Touba, “Reducing Test Data Volume Using External/LBIST Hybrid Test Patterns, ” in Proc. of International Test Conference, 2000, pp. 115–122.

  7. R. Dorsch and H.-J. Wunderlich, “Accumulator Based Deterministic BIST, ” in Proc. of International Test Conference, 1998, pp. 412–421.

  8. A. El-Maleh, S. al Zahir, and E. Khan, “A Geometric-Primitives-Based Compression Scheme for Testing Systems-on-a-Chip, ” in Proc. of VLSI Test Symposium, 2001, pp. 54–59.

  9. S. Gupta, J. Rajski, and J. Tyszer, “Test Pattern Generation Based on Arithmetic Operations, ” in Proc. of International Conference on Computer-Aided Design (ICCAD), 1994, pp. 117–124.

  10. S. Hellebrand, H.-J. Wunderlich, and A. Hertwig, “Mixed-Mode BIST Using Embedded Processors, ” in Proc. of International Test Conference, 1996, pp. 195–204.

  11. J.-R. Huang, M.K. Iyer, and K.-T. Cheng, “A Self-Test Methodology for IP Cores in Bus-Based Programmable SOCs, ” in Proc. of VLSI Test Symposium, 2001, pp. 198–203.

  12. M. Ishida, D.S. Ha, and T. Yamaguchi, “COMPACT: A Hybrid Method for Compressing Test Data, ” in Proc. of VLSI Test Symposium, 1998, pp. 62–69.

  13. V. Iyengar, K. Chakraborty, and B.T. Murray, “Built-in Self Testing of Sequential Circuits Using Precomputed Test Sets, ” in Proc. of VLSI Test Symposium, 1998, pp. 418–423.

  14. A. Jas, J. Ghosh-Dastidar, and N.A. Touba, “ScanVector Compression/Decompression Using Statistical Coding, ” in Proc. of VLSI Test Symposium, 1999, pp. 114–120.

  15. A. Jas, C.V. Krishna, and N.A. Touba, “Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme, ” in Proc. of VLSI Test Symposium, 2001, pp. 114–120.

  16. A. Jas and N.A. Touba, “Test Vector Decompression Via Cyclical Scan Chains and Its Application to Testing Core-Based Designs, ” in Proc. of International Test Conference, 1998, pp. 458–464.

  17. A. Jas and N.A. Touba, “Using an Embedded Processor for Efficient Deterministic Testing of Systems-on-a-Chip, ” in Proc. of International Conference on Computer Design, 1999, pp. 418–423.

  18. J. Rajski and J. Tyszer, “Accumulator-Based Compaction of Test Responses, ” IEEE Transactions on Computers, vol. 42, no. 6, pp. 643–650, June 1993.

    Google Scholar 

  19. R. Rajsuman, “Testing a System-on-a-Chip with Embedded Microprocessor, ” in Proc. of International Test Conference, 1999, pp. 499–508.

  20. J. Saxena, P. Ploicke, K. Cyr, A. Benavides, and M. Malpass, “Test Strategy for TI's TMS320AV7100 Device, ” in IEEE Int. Workshop on Testing Embedded Core Based Systems, 1998.

  21. A.P. Stroele, “A Self-Test Approach Using Accumulators as Test Pattern Generators, ” in Proc. of International Symposium on Circuits and Systems, 1995, pp. 2010–2013.

  22. A.P. Stroele, “Test Response Compaction Using Arithmetic Functions, ” in Proc. of VLSI Test Symposium, 1996, pp. 380–386.

  23. A.P. Stroele, “Bit Serial Pattern Generation and Response Compaction Using Arithmetic Functions, ” in Proc. of VLSI Test Symposium, 1998, pp. 78–84.

  24. T. Yamaguchi, M. Tilgner, M. Ishida, and D.S. Ha, “An Efficient Method for Compressing Test Data, ” in Proc. of International Test Conference, 1996, pp. 191–199.

  25. Y. Zorian, “Test Requirements for Embedded Core-Based Systems and IEEE P1500, ” in Proc. of International Test Conference, 1996, pp. 191–199.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Jas, A., Touba, N.A. Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor. Journal of Electronic Testing 18, 503–514 (2002). https://doi.org/10.1023/A:1016505926570

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1016505926570

Navigation