Skip to main content
Log in

Signal Integrity: Fault Modeling and Testing in High-Speed SoCs

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

As we approach 100 nm technology the interconnect issues are becoming one of the main concerns in the testing of gigahertz system-on-chips. Voltage distortion (noise) and delay violations (skew) contribute to the signal integrity loss and ultimately functional error, performance degradation and reliability problems. In this paper, we first define a model for integrity faults on the high-speed interconnects. Then, we present a BIST-based test methodology that includes two special cells to detect and measure noise and skew occurring on the interconnects of the gigahertz system-on-chips. Using an inexpensive test architecture the integrity information accumulated by these special cells can be scanned out for final test and reliability analysis.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. M. Abramovici, M. Breuer, and A. Friedman, Digital Systems Testing and Testable design, IEEE Press, 1990.

  2. V. Adler and E. Friedman, “Repeater Design to Reduce Delay and Power in Resistive Interconnect, ” IEEE Trans. on Circuit and Systems-II: Analog and Digital Signal Processing, vol. 45, no. 5, pp. 607–616, May 1998.

    Google Scholar 

  3. A. Attarha and M. Nourani, “Test Pattern Generation for Signal Integrity Testing, ” Technical Report UTD-EE-2001-8-22, Department of Electrical Engineering, the University of Texas at Dallas, Aug. 2001.

  4. X. Bai, S. Dey, and J. Rajski, “Self-Test Methodology for At-Speed Test of Crosstalk in Chip Interconnects, ” in Proc. Design Automation Conf. (DAC'00), 2000, pp. 619–624.

  5. W. Chen, S. Gupta, and M. Breuer, “Test Generation in VLSI Circuits for Crosstalk Noise, ” in Proc. Intern. Test Conf. (ITC'98), 1998, pp. 641–650.

  6. H. Chen and L. Wang, “Design for Signal Integrity: The New Paradigm for Deep-Submicron VLSI Design, ” in Proc. Intern. Symposium on VLSI Technology, June 1997, pp. 329–333.

  7. C. Cheng, J. Lillis, S. Lin, and N. Chang, Interconnect Analysis and Synthesis, John Wiley & Sons, 2000.

  8. D. Cho, Y. Eo, M. Seung, N. Kim, J. Wee, O. Kown, and H. Park, “Interconnect Capacitance, Crosstalk and Signal Delay for 0.35 µm CMOS Technology, ” in Proc. Intern. Meeting on Electron Devices, 1996, pp. 619–622.

  9. J. Cong and L. He, “Theory and Algorithm of Local-Refinement-Based Optimization with Application toDevice and Interconnect Sizing, ” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, no. 4, pp. 406–420, April 1999.

    Google Scholar 

  10. M. Cuviello, S. Dey, X. Bai, and Y. Zhao, “Fault Modeling and Simulation for Crosstalk in System-on-Chip Interconnects, ” in Proc. Intern. Conf. on Computer Aided Design (ICCAD'99), Nov. 1999, pp. 297–303.

  11. J. Devorce, Probability and Statistics for Engineering and the Sciences, John Wiley & Sons, 1999.

  12. R. Downing, P. Gebler, and G. Katopis, “Decoupling Capacitor Effects on Switching Noise, ” IEEE Transactions on Components, Hybrids and Manufacturing Technology, vol. 16, no. 5, pp. 484–489, Aug. 1993.

    Google Scholar 

  13. W. Elmore, “The Transient Response of Damped Linear NetworksWith Particular Regard toWideband Amplifiers, ” Journal of Appl. Phys., vol. 19, no. 1, pp. 155–163, 1948.

    Google Scholar 

  14. P. Fang, J. Tao, J. Chen, and C. Hu, “Design in Hot Carrier Reliability for High Performance Logic Applications, ” in Proc. IEEE Custom Integrated Circuits Conf., Oct. 1998, pp. 25.1.1–25.1.7.

  15. P. Feldmann and R. Freund, “Efficient Linear Circuit Analysis by Pade Approximation via the Lanczos Process, ” IEEE Trans. on CAD, vol. 14, no. 5, pp. 639–649, May 1995.

    Google Scholar 

  16. P. Feldmann and R. Freund, “Small-Signal Circuit Analysis and Sensitivity Computations with the PVL Algorithm, ” IEEE Trans. on Circuits and Systems, vol. 43, no. 8, pp. 577–585, Aug. 1996.

    Google Scholar 

  17. A. Flint, “Testing Multichip Modules, ” IEEE Spectrum, pp. 59–62, March 1994.

  18. K. Gala, V. Zolotov, R. Panda, B. Young, and D. Blaauw, “On-Chip Inductance Modeling and Analysis, ” in Proc. Design Automation Conf. (DAC'00), 2000, pp. 63–68.

  19. P. Gray and R. Meyer, Analysis and Design of Analog Integrated Circuits, John Wiley & Sons, 2000.

  20. L. Green, “Simulation, Modeling and Understanding the Importance of Signal Integrity, ” IEEE Circuit and Devices Magazine, pp. 7–10, Nov. 1999.

  21. L. He, N. Chang, S. Lin, and O. Nakagawa, “An Efficient Inductance Modeling for On-Chip Interconnects, ” in Proc. IEEE Custom Integrated Circuits Conf., 1999, pp. 457–460.

  22. W. Hunter, “The Statistical Dependence of Oxide Failure Rates on V dd and T ox Variations with Applications to Process Design, Circuit Design and End Use, ” in Proc. Reliability Physics Symposium, pp. 72–81.

  23. Intel Corporation, “MCS-51 8-bit Microcontroller, ” Databook of Intel MCS-51 Microcontroller, 1994.

  24. Y. Jiang, S. Sapatnekar, C. Bamji, and J. Kim, “Interleaving Buffer Insertion and Transistor Resizing into a Single Optimization, ” IEEE Trans. on VLSI Systems, vol. 6, no. 4, pp. 625–633, Dec. 1998.

    Google Scholar 

  25. A. Kahng, S. Muddu, and E. Sarto, “Interconnect Optimization Strategies for High-Performance VLSI Designs, ” in Proc. Intern. Conf. on VLSI Design, Aug. 1999, pp. 464–469.

  26. S. Kimothi and U. Nandwani, “Uncertainty Considerations in Compliance-Testing for Electromagnetic Interference, ” in Proc. Annual Reliability and Maintainability Symposium, 1999, pp. 265–268.

  27. Y. Leblebici, “Design Considerations forCMOSDigital Circuits with Improved Hot-Carrier Reliability, ” IEEE Journal of Solid-State Circuits, vol. 31, no. 7, pp. 1014–1024, July 1996.

    Google Scholar 

  28. J. Lillis, C. Cheng, and T. Lin, “OptimalWire Sizing and Buffer Insertion for Low Power and a Generalized Delay Model, ” IEEE Journal of Sold-State Circuits, vol. 31, no. 3, pp. 437–447, March 1996.

    Google Scholar 

  29. A. Majhi and V. Agrawal, “Tutorial: Delay Fault Models and Coverage, ” in Proc. 11th Internations Conf. on VLSI Design, 1998, pp. 364–369.

  30. MATLAB User's and Reference Manual, 2000 The MathWorks, Inc., Version 6.0.0.88, 2000.

  31. MOSISOrganization,USCInformation Sciences Institute.Webpage URL address: http://www.mosis.org.

  32. N.S. Nagaraj, P. Balsara, and C. Cantrell, “Crosstalk Noise Verification in Digital Designs with Interconnect Process Variations, ” in Proc. 14th Intern. Conf. on VLSI Design, 2001, pp. 365–370.

  33. S. Natarajan, M.A. Breuer, and S.K. Gupta, “Process Variations and Their Impact on Circuit Operation, ” in Proc. IEEE Intern. Symp. on Defect and Fault Tolerance in VLSI Systems, 1998, pp. 73–81.

  34. P. Nordholz, D. Treytnar, J. Otterstedt, H. Grabinski, D. Niggemeyer, and T. Williams, “Signal Integrity Problems in Deep Submicron Arising from Interconnects Between Cores, ” in Proc. VLSI Test Symp. (VTS'98), 1998, pp. 28–33.

  35. M. Nourani and A. Attarha, “Built-in Self-Test for Signal Integrity, ” in Proc. Design Automation Conf. (DAC'01), June 2001, pp. 792–797.

  36. A. Odabasioglu, M. Celik, and L. Pileggi, “PRIMA: Passive Reduced-Order Interconnect Macromodeling Algorithm, ” IEEE Conf. on CAD, 1997.

  37. OEA Interconnect Simulators, User's and Reference Manual, OEA International, Inc., 2001.

  38. L. Pillage and R. Rohrer, “Asymptotic Waveform Evaluation for Timing Analysis, ” IEEE Trans. on CAD, vol. 9, no 4, pp. 352–366, April 1990.

    Google Scholar 

  39. J. Rabaey, Digital Integrated Circuits, Prentice Hall, 1996.

  40. I. Rayane, J. Velasco-Medina, and M. Nicolaidis, “A Digital BIST for Operational Amplifiers Embedded in Mixed-Signal Circuits, ” in Proc. VLSI Test Symp. (VTS'99), 1999, pp. 304–310.

  41. P. Restle, A. Tuehli, and S. Walker, “Dealing with Inductance in High-Speed Chip Design, ” in Proc. Design Automation Conf. (DAC'99), 1999, pp. 904–909.

  42. R. Saleh, D. Overhauser, and S. Taylor, “Full-Chip Verification of UDSM Designs, ” in Proc. Intern. Conf. on Computer Aided Design (ICCAD'98), Nov. 1998, pp. 453–460.

  43. Semiconductor Industry Association, The International Technology Roadmap for Semiconductors, Sematech Inc., 1999.

  44. B. Sheehan, “Projective Convolution:RLCModel-Order Reduction Using the Impulse Response, ” in Proc. Design Automation Conf. (DAC'99), 1999, pp. 669–673.

  45. B. Sheehan, “ENOR: Model Order Reduction of RLC Circuits Using Nodal Equations for Efficient Factorization, ” Design Automation Conference, 1999, pp. 17–21.

  46. U. Sparmann, H. Muller, and S. Reddy, “Universal Delay Test Sets for Logic Networks, ” IEEE Trans. on VLSI Systems, vol. 7, no. 2, pp. 156–166, Nov. 1999.

    Google Scholar 

  47. Synopsys, Synopsys Design Analyzer, User Manuals for SYNOPSYS Toolset Version 2000.05-1, Synopsys, Inc., 2000.

  48. G. Tellez and M. Sarrafzadeh, “Minimal Buffer Insertion in Clock Trees with Skewand SlewRate Constraints, ” IEEE Trans. on CAD, vol. 16, no. 4, pp. 333–342, April 1997.

    Google Scholar 

  49. Texas Instrument Inc., CODAC User's and Reference Manual, Texas Instrument Incorporation, 2000.

  50. Texas Instrument Incorporation, TI-SPICE3 User's and Reference Manual, 2000.

  51. H. Veendrick, Deep-Submicron CMOS ICs: From Basics to Asics, Kluwer, 1998.

  52. J. Wakerly, Digital Design, Principles and Practices, Prentice Hall, 2000.

  53. S. Zhao and K. Roy, “Estimation of Switching Noise on Power Supply Lines in Deep Sub-Micron CMOS Circuits, ” in Proc. Intern. Conf. on VLSI Design, Jan. 2000, pp. 168–173.

  54. D. Zhou and X. Liu, “On the Optimal Drivers of High-Speed Low Power Ics, ” in Proc. ICCAD'91 Conf., 1991, pp. 212–218.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Nourani, M., Attarha, A. Signal Integrity: Fault Modeling and Testing in High-Speed SoCs. Journal of Electronic Testing 18, 539–554 (2002). https://doi.org/10.1023/A:1016514129296

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1016514129296

Navigation