Skip to main content
Log in

A Low-Power Heterogeneous Multiprocessor Architecture for Audio Signal Processing

  • Published:
Journal of VLSI signal processing systems for signal, image and video technology Aims and scope Submit manuscript

Abstract

This paper describes a low-power programmable DSP architecture that targets audio signal processing. The architecture can be characterized as a heterogeneous multiprocessor consisting of small instruction set processors called mini-cores as well as standard DSP and CPU cores that communicate using message passing. The mini-cores are tailored for different classes of filtering algorithms (FIR, IIR, N-LMS etc.), and in a typical system the communication among processors occur at the sampling rate only.

The mini-cores are intended as soft-macros to be used in the implementation of system-on-chip solutions using a synthesis-based design flow targeting a standard-cell implementation. They are parameterized in word-size, memory-size, etc. and can be instantiated according to the needs of the application. To give an impression of the size of a mini-core we mention that one of the FIR mini-cores in a prototype design has 16 instructions, a 32-word × 16-bit program memory, a 64-word × 16-bit data memory and a 25-word × 16-bit coefficient memory.

Results obtained from the design of a prototype chip containing mini-cores for a hearing aid application, demonstrate a power consumption that is only 1.5–1.6 times larger than a hardwired ASIC and more than 6–21 times lower than current state of the art low-power DSP processors. This is due to: (1) the small size of the processors and (2) a smaller instruction count for a given task.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. R. Tessier and W. Burleson, “Reconfigurable Computing for Digital Signal Processing,” Journal of VLSI Signal Processing, vol. 28, nos. 1/2, 2001, pp. 7-27.

    Article  MATH  Google Scholar 

  2. A. Abnous and J. Rabaey, “Ultra-Low-Power Domain-Specific Multimedia Processors,” in Proceedings of the IEEE VLSI Signal Processing Workshop, 1996, pp. 461-470.

  3. J. Rabaey, “Reconfigurable Computing: The Solution to Low Power,” in Proceedings 1997 ICASSP Conference, 1997.

  4. H. Zhang, V. Prabhu, V. George, M. Wan, M. Benes, A. Abnous, and J. Rabaey, “A 1-V Heterogenous Reconfigurable DSP IC for Wireless Baseband Digital Signal Processing,” IEEE Journal of Solid State Circuits, vol. 35, no. 11, 2000, pp. 1697-1704.

    Article  Google Scholar 

  5. T.A. Lee, D.C. Cox, J. Nichols, and S. Asghar, “Low Power Reconfigurable Macro-Operation Signal Processing for Wireless Communications,” in 48th IEEE Vehicular Technology Conference, vol. 3, 1998, pp. 2560-2564.

    Google Scholar 

  6. F. Møller, N. Bisgaard, and J. Melanson, “Algorithm and Architecture of a IV Low Power Hearing Instrument DSP,” in International Symposium on Low Power Electronics and Design, 1999, pp. 7-11.

  7. H.M. Jacobson, and G. Gopalakrishnan, “Application-Specific Programmable Control for High-Performance Asynchronous Circuits,” Proceedings of the IEEE, vol. 87, no. 2, 1999, pp. 319-331. Special issue on “Asynchronous Circuits and Systems” (Invited Paper).

    Article  Google Scholar 

  8. R. Subramanian, U. Jha, J. Medlock, C. Woodthorpe, and K. Rieken, “Novel Application-Specific Signal Processing Architectures for Wide-Band CDMA and TDMA Applications,” in IEEE 51st Vehicular Technology Conference Proceedings, vol. 2, 2000, pp. 1311-1317.

    Google Scholar 

  9. A. Allan, D. Edenfeld, W.H. Joyner, A.B. Kahng, M. Rodgers, and Y. Zorian, “2001 Technology Roadmap for Semiconductors,” IEEE Computer, 2002, pp. 42-53.

  10. P. Mosch, G.V. Oerle, S. Menzl, N. Rougnon-Glasson, K.V. Nieuwenhove, and M. Wezelenburg, “A 720 µW 50 MOPs 1 V DSP for a Hearing Aid Chip Set,” in Proceedings ISSCC 2000, 2000, pp. 238-239.

  11. K. Roy, “Leakage Power Reduction in Low-Voltage CMOS Designs,” in IEEE International Conference on Electronics, Circuits and Systems, vol. 2, 1998, pp. 167-173.

    Google Scholar 

  12. A. Dancy, A. Chandrakasan, “Techniques for Agressive Supply Voltage Scaling and Efficient Regulation,” in Proceedings of the IEEE Custom Integrated Circuits Conference, 1997, pp. 579-586.

  13. H. Zhang, M. Wan, V. George, and J. Rabaey, “Interconnect Architecture Exploration for Low Energy Reconfigurable Single-Chip DSPs,” in IEEE Computer Society Workshop on VLSI' 99, 1999, pp. 2-8.

  14. S. Mutoh et al., “A 1-V Multithreshold-Voltage CMOS Digital Signal Processor for Mobile Phone Application,” IEEE Journal of Solid State Circuits, vol. 31, no. 11, 1996, pp. 1795-1802.

    Article  Google Scholar 

  15. W. Lee et al., “A 1-V Programmable DSP for Wireless Communications,” IEEE Journal of Solid State Circuits, vol. 32, no. 11, 1997, pp. 1766-1776.

    Article  Google Scholar 

  16. http://www.audiologic.com

  17. W.E. Dougherty and D.J. Pursley, and D.E. Thomas, “Subsetting Behavioral Intellectual Property for Low Power ASIP Design,” Journal of VLSI Signal Processing, vol. 21, no. 3, 1999, pp. 209-218.

    Article  Google Scholar 

  18. http://www.freehand-dsp.com.

  19. L.S. Nielsen and J. Sparso, “An 85 µW Asynchronous Filter-Bank for a Digital Hearing Aid,” in Proc. IEEE International Solid State Circuits Conference, 1998, pp. 108-109.

  20. L.S. Nielsen and J. Sparsø, “Designing Asynchronous Circuits for Low Power: An IFIR Filter Bank for a Digital Hearing Aid,” Proceedings of the IEEE vol. 87, no. 2, 1999, pp. 268-281. Special Issue on “Asynchronous Circuits and Systems” (Invited Paper).

    Article  Google Scholar 

  21. J. Proakis and D. Manolakis, Digital Signal Processing: Principles, Algorithms, and Applications, 3rd edition, Prentice Hall, 1996.

  22. S.F. Nielsen and J. Sparsø, “Analysis of Low-Power SoC Interconnection networks,” in IEEE 19th Norchip Conference, 2001, pp. 77-86.

  23. C. Turner, “Calculation of TMS320LC54x Power Dissipation,” 1997, Application report, Texas Instruments. http://www-s.ti.com/sc/psheets/spra164/spra164.pdf.

  24. http://www.ti.com/sc/does/products/dsp/c5000/c54x/54dsplib. htm: “Optimized DSP Library for C Programmers on the TMS320C54x,” Application report, Texas Instruments, 2000.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Paker, Ö., Sparsø, J., Haandbæk, N. et al. A Low-Power Heterogeneous Multiprocessor Architecture for Audio Signal Processing. The Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology 37, 95–110 (2004). https://doi.org/10.1023/B:VLSI.0000017005.01462.d5

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1023/B:VLSI.0000017005.01462.d5

Navigation