skip to main content
10.1145/1023833.1023852acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Static next sub-bank prediction for drowsy instruction cache

Authors Info & Claims
Published:22 September 2004Publication History

ABSTRACT

As feature sizes shrink, leakage energy reduction has become increasingly important, especially for cache memories. Recent research in drowsy instruction cache shows that the leakage energy of the instruction cache can be significantly reduced with little performance degradation by exploiting the instruction spatial locality at the cache sub-bank level[5]. The performance penalty due to the sub-bank wake-up latency is dramatically reduced by using a prediction buffer to pre-activate the next sub-bank at runtime. However, consulting the prediction buffer at every cache access consumes non-trivial dynamical energy, which can compromise the overall energy savings substantially. This paper proposes a static approach to capture the sub-bank transition behavior at link time and to pre-activate the instruction cache sub-bank at runtime according to the compiler-directed hints. We also propose a hybrid approach to exploit both the static and dynamic information for reducing the performance penalty further with little dynamic energy overhead. Our experiments reveal that the static approach is very successful in capturing the sub-bank transition behavior for reducing the performance penalty and it also reduces 38.2% more leakage energy than the hardware-based approach, taking the dynamic energy overhead into account. Moreover, our results show that the hybrid approach is the best strategy for the drowsy instruction cache to balance leakage energy reduction and performance.

References

  1. Y. Ye, S. Borkar, and V. De. A new technique for standby leakage reduction in high-performance circuits. In Proc. the Symposium on VLSI Circuits, pp. 40--41, 1998Google ScholarGoogle ScholarCross RefCross Ref
  2. M. D. Powell, S. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar. Reducing Leakage in a High-Performance Deep-Submicron Instruction Cache. IEEE Transactions on VLSI, Vol. 9, No. 1, February 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Kaxiras, Z. Hu, M. Martonosi. Cache decay: exploiting generational behavior to reduce cache leakage power. In Proc. of ISCA, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H. Zhou, M. C. Toburen, E. Rotenberg, and T. M. Conte. Adaptive mode control: a static power-efficient cache design. In Proc. of PACT, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. N.S. Kim, K. Flautner, D. Blaauw, T. Mudge. Drowsy instruction caches. Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, 2002.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K. Flautner, N.S. Kim, S. Martin, D. Blaauw, T. Mudge. Drowsy caches: simple techniques for reducing leakage power. In Proc. of ISCA 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. S. Heo, K. Barr, M. Hampton, and K. Asanovic. Dynamic fine-grain leakage reduction using leakage-biased bitlines. In Proc. of ISCA 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. A. Butts and G. Sohi. A static power model for architects. In Proc. the International Symposium on Microarchitecture, December 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. http://www.trimaran.org.Google ScholarGoogle Scholar
  10. http://www.spec.org.Google ScholarGoogle Scholar
  11. C. Lee and M. Potkonjak, and W. H. Mangione-Smith. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems. In Proc. the International Symposium on Microarchitecture, pp. 330--335, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. P. Shivakumar and N. Jouppi. CACTI 3.0: An integrated cache timing, power and area model. WRL Research Report 2001.Google ScholarGoogle Scholar
  13. W. Zhang, J.S. Hu, V. Degalahal, M. Kandemir, N. Vijaykrishnan, M.J. Irwin. Compiler-directed instruction cache leakage optimization. Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. T. Ball and J. R. Larus. Branch prediction for free. In Proceedings of SIGPLAN Conference on Programming Language Design and Implementation, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. W. W. Hwu, T. M. Conte and P. P. Chang. Comparing software and hardware schemes for reducing the cost of branches. In Proc. of ISCA 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Static next sub-bank prediction for drowsy instruction cache

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          CASES '04: Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
          September 2004
          324 pages
          ISBN:1581138903
          DOI:10.1145/1023833

          Copyright © 2004 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 22 September 2004

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          Overall Acceptance Rate52of230submissions,23%

          Upcoming Conference

          ESWEEK '24
          Twentieth Embedded Systems Week
          September 29 - October 4, 2024
          Raleigh , NC , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader