skip to main content
10.1145/1057661.1057728acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
Article

Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors

Published:17 April 2005Publication History

ABSTRACT

The performance of the various cache coherence protocols proposed in the literature have been extensively analyzed in the context of high-performance multi-processor systems.A similar analysis for Multi-Processor Systems-on-Chips (MP-SoCs), where energy is at least as important as performace, and for which strict constraints on hardware and software resources do exist, has not been done yet.This work provides an effort in that sense, showing energy/performance tradeoffs for different snoop-based protocols on a realistic MPSoC architecture. The analysis leverage a multi-processor simulation platform, augmented with accurate power models, that allows cycle-accurate simulations.Our analysis show that (i) cache write policy is actually more important than the actual cache coherence protocol, and (ii) matching the programming model and style to the architecture may have dramatic effects on the energy and performance of the system.

References

  1. "Broadening the Reach of the Intel Itanium 2 Processor Family," Technical White Paper, www.intel.com/ebusiness/pdf/prod/itanium/wp reach.pdfGoogle ScholarGoogle Scholar
  2. M. Tremblay, J. Chen, S. Chaudry, A. Conigliaro, S.-S. Tse. "The MAJC Architecture: A Synthesis of Parallelism and Scalability,", IEEE Micro, Vol. 20, No. 6, Nov.-Dec. 2000, pp 12--25. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J.M. Tendler, J.S. Dodson, J.S. Fields Jr., H. Le, B. Sin-Haroy. "POWER4 System Microarchitecture," IBM Journal of Research and Development, Vol. 46, No. 1, January 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. P. Cumming "The TI OMAP Platform Approach to SoC," in Winning the SOC Revolution, Kluwer Academic Publishers, 2003.Google ScholarGoogle Scholar
  5. S. Richardson, "MPOC: A Chip Multiprocessor for Embedded Systems,", HP Technical Report, HPL-2002-186, July 2002.Google ScholarGoogle Scholar
  6. B. Ackland et al., "A Single Chip, 1.6 Billion, 16-b MAC/s Multiprocessor DSP," IEEE Journal of Solid State Circuits, Vol. 35, No. 3, March 2000.Google ScholarGoogle ScholarCross RefCross Ref
  7. Philips Semiconductor, "Philips Nexperia Platform", www.semiconductors.philips.com/products/nexperia/home S. Dutta, R. Jensen, A. Rieckmann.Google ScholarGoogle Scholar
  8. M. Grammatikakis, M. Coppola, F. Sensini, "Software for Multiprocessor Networks-on-Chip," Networks on Chip, Kluwer Academic Publishers, pp. 281--303, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. E. Aarts, R. Roovers, "IC Design Challenges for Ambient Intelligence," Design, Automation and Test in Europe, pp. 3--7, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. L. Benini, M. Poncino, "Ambient Intelligence: A Computational Platform Perspective" in: Ambient Intelligence: Impact on Embedded System Design, T. Basten, M. Geilen, H. de Groot eds. Kluwer Academic Publishers, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Macii, L. Benini, M. Poncino, Memory Design Techniques for Low-Energy Embedded Systems, Kluwer Academic Publishers, 2002.Google ScholarGoogle Scholar
  12. C. Lin, L. Snyder, "A Comparison of Programming Models for Shared Memory Multiprocessors," International Conference on Parallel Processing, pp. 163--170, 1990.Google ScholarGoogle Scholar
  13. T.J. LeBlanc, E.P. Markatos, "Shared memory vs. message passing in shared-memory multiprocessors," Symposium on Parallel and Distributed Processing, pp. 254--263, Dec. 1992.Google ScholarGoogle Scholar
  14. A.C. Klaiber, H.M. Levy, "A Comparison of Message Passing and Shared Memory Architectures for Data Parallel Programs," ISCA'94: International Symposium on Computer Architecture, pp. 94--105, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Chandra, J. R. Larus, A. Rogers, "Where is Time Spent in Message-Passing and Shared-Memory Programs?" ASPLOS'94: International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 61--73, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Karlsson and M. Brorsson. "A comparative characterization of communication patterns in applications using MPI and shared memory on an IBM SPI," International.Workshop on Communication, Architecture, and Applications for Network-Based Parallel Computing, pp. 189--201, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. H. Shan, J.P. Singh, L. Oliker, R. Biswas, "Message passing vs. shared address space on a cluster of SMPs," International Parallel and Distributed Processing Symposium, April 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. D.E. Culler, A. Gupta. J.P. Singh, Parallel Computer Architecture: A Hardware/Software Approach Morgan Kaufmann Publishers, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M. Ekman, F. Dahlgren, P. Stenström "Evaluation of Snoop-Energy Reduction Techniques for Chip-Multiprocessors," Workshop on Duplicating, Deconstructing and Debunking - in conjunction with ISCA'02: International Symposium on Computer Architecture, May 2002. ISCA'02, May 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. M. Ekman, F. Dahgren, P. Stenström, "TLB and Snoop Energy-Reduction Using Virtual Caches in Low-Power Chip-Multiprocessors," ISLPED'02, : International Symposium on Low Power Electronics and Design, August 2002, pp. 243--246. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. M. Loghi, M. Poncino, "Exploring Energy/Performance Tradeoffs in Shared Memory MPSoCs: Snoop-Based Cache Coherence vs. Software Solutions" DATE'05: Design, Automation and Test in Europe, to appear. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. P. Stenström, "A Survey of Cache Coherence Schemes for Multiprocessors," IEEE Computer, Vol. 23, No. 6, June 1990, pp. 12--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. M. Tomasevic, V. M. Milutinovic, "Hardware Approaches to Cache Coherence in Shared-Memory Multiprocessors," IEEE Micro, Vol. 14, No. 5-6, pp. 52--59, October/December 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. I. Tartalja, V. M. Milutinovic, "Classifying Software-Based Cache Coherence Solutions," IEEE Software, Vol. 14, No. 3, pp. 90--101, March 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. A. Moshovos, B. Falsafi, A. Choudhary, "JETTY: Filtering Snoops for Reduced Energy Consumption in SMP Servers", HPCA'01 January 2001, pp. 85--97. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. C. Saldanha and M. Lipasti, "Power Efficient Cache Coherence", High Performance Memory Systems, Springer-Verlag, 2003, pp. 63--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M. Loghi, F. Angiolini, D. Bertozzi, L. Benini, R. Zafalon, "Analyzing On-Chip Communication in a MPSoC Environment", DATE'04: Design, Automation and Test in Europe, February 2004, pp. 752--757. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Software ARM, www.g141.com/projects/swarm.Google ScholarGoogle Scholar
  29. ARM Ltd., www.arm.com/products/solutions/AMBAHomePage.htmlGoogle ScholarGoogle Scholar
  30. RTEMS home page, www.rtems.com.Google ScholarGoogle Scholar
  31. L. Benini et al. "A power modeling and estimation framework for VLIW-based embedded systems," PATMOS'01, October 2001, pp. 26--28.Google ScholarGoogle Scholar
  32. M. Chinosi, R. Zafalon, C. Guardiani, "Automatic Characterization and Modeling of Power Consumption in Static RAMs," ISLPED'98, Aug. 1998, pp. 112--114. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. A. Bona, V. Zaccaria, R. Zafalon, "System-Level Power Modeling and Simulation of High-End Industrial Network-on-chip", DATE'04,pp. 318--323. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. J. P. Singh, W.-D. Weber, A. Gupta, "SPLASH: Stanford Parallel Applications for Shared-Memory", Computer Architecture News, Vol. 20, No. 1, pages 5--44, March 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        GLSVLSI '05: Proceedings of the 15th ACM Great Lakes symposium on VLSI
        April 2005
        518 pages
        ISBN:1595930574
        DOI:10.1145/1057661
        • General Chair:
        • John Lach,
        • Program Chairs:
        • Gang Qu,
        • Yehea Ismail

        Copyright © 2005 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 17 April 2005

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate312of1,156submissions,27%

        Upcoming Conference

        GLSVLSI '24
        Great Lakes Symposium on VLSI 2024
        June 12 - 14, 2024
        Clearwater , FL , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader