skip to main content
10.1145/1150343.1150395acmconferencesArticle/Chapter ViewAbstractPublication PagessbcciConference Proceedingsconference-collections
Article

Evaluation of SEU and crosstalk effects in network-on-chip switches

Published:28 August 2006Publication History

ABSTRACT

As the complexity of designs increases and the technology scales down into the deep sub-micron domain, devices and interconnections are subject to new types of malfunctions and failures. This work intends to evaluate the effect of Single Event Upsets (SEUs) and crosstalk faults in a Network-on-Chip switch by performing fault injection simulations, allowing an accurate analysis of the impact of these faults over the switch service. The results show that such faults might affect the switch behavior, with errors ranging from simple loss of packets up to the permanent interruption of the switch service.

References

  1. Benini L.; Micheli. G. D.; "Networks on Chips: A New SoC Paradigm". IEEE Computer, Vol. 35, January, 2002, pp. 70--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Dally, W. J.; Towles, B.; "Route Packets, Not Wires: On-Chip Interconnection Networks". In: Design Automation Conference, 2001, Proceedings' pp. 684--689, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Duato, J.; Yalamanchili, S.; Ni, L.; Interconnection Networks: An Engineering Approach". IEEE Computer Society, Los Alamitos, CA 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Murali, S.; Theocharides, T.; Vijaykrishnan, N.; Irwin, M. J.; Benini, L.; De Micheli, G.; "Analysis of error recovery schemes for networks on chips", IEEE Design&Test of Computers, Volume 22, Issue 5, Sept.-Oct. 2005, pp. 434--442. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Acquaviva, A.; Bogliolo, A.; "A Bottom-Up Approach to On-Chip Signal Integrity", Lecture Notes in Computer Science, Volume 2799, pp. 540--549, Jan 2003.Google ScholarGoogle ScholarCross RefCross Ref
  6. Nicolaidis, M.; "Design for soft error mitigation", IEEE Transactions on Device and Materials Reliability, Volume 5, Issue 3, Sept. 2005, pp. 405--418.Google ScholarGoogle ScholarCross RefCross Ref
  7. Kastensmidt, F., Carro, L., Reis, R.; Fault-Tolerance Techniques for SRAM-based FPGAs, Series: Frontiers in Electronic Testing, Springer, Vol. 32, 2006. 180 p. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Rossi, D.; Metra, C.; Nieuwland, A. K.; Katoch, A.; "Exploiting ECC redundancy to minimize crosstalk impact", IEEE Design&Test of Computers, Volume 22, Issue 1, Jan 2005, pp. 59--70. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Nieuwland, A. K.; Katoch, A.; Rossi, D.; Metra, C.; "Coding techniques for low switching noise in fault tolerant busses", In: 11th IEEE International On-Line Testing Symposium, 2005. Proceedings.. pp. 183--189, 6-8 July 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Bertozzi, D.; Benini, L.; De Micheli, G.; "Low power error resilient encoding for on-chip data buses", In: Design, Automation and Test in Europe Conference and Exhibition, 2002. Proceedings.. pp. 102--109 , 4-8 March 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Lajolo, M.; "Bus guardians: an effective solution for online detection and correction of faults affecting system-on-chip buses", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume 9, Issue 6, Dec. 2001, pp. 974--982. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Tamhankar, R. R.; Murali, S.; De Micheli, G.; "Performance driven reliable link design for networks on chips", In: Asia and South Pacific Design Automation Conference, 2005. Proceedings.. pp. 749--754, Volume 2, 18-21 Jan. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Marculescu, R.; "Networks-on-chip: the quest for on-chip fault-tolerant communication", 2003. In: IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings.. pp. 8-12, 20--21 Feb. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Dumitras, T.; Kerner, S.; Marculescu, R.; "Towards on-chip fault-tolerant communication", In: Asia and South Pacific Design Automation Conference, 2003. Proceedings.. pp. 225--232, 21-24 Jan. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Bertozzi, D.; Benini, L.; De Micheli, G.; "Error control schemes for on-chip communication links: the energy-reliability tradeoff", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Volume 24, Issue 6, June 2005, pp. 818--831. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Perez, J.; Reorda, M. S.; Violante, M.; "Early, Accurate Dependability Analysis of CAN-Based Networked Systems", IEEE Design&Test of Computers, Volume 23, Issue 1, Jan. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Nicolaidis, M.; "Design for soft error mitigation", IEEE Transactions on Device and Materials Reliability, Volume 5, Issue 3, Sept. 2005.Google ScholarGoogle ScholarCross RefCross Ref
  18. Cuviello, M.; Dey, S.; Bai, X.; Zhao, Y.; "Fault modeling and simulation for crosstalk in system-on-chip interconnects", In: 1999 IEEE/ACM International conference on Computer-Aided Design. Digest of Technical Papers, pp. 297--303, 7-11 Nov. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Zeferino, C. A., Susin, A. A., "SoCIN: A Parametric and Scalable Network-on-Chip". In: 17th Symposium on Integrated Circuits and Systems (SBCCI), 2003. Proceedings.. pp. 169--174, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Evaluation of SEU and crosstalk effects in network-on-chip switches

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        SBCCI '06: Proceedings of the 19th annual symposium on Integrated circuits and systems design
        August 2006
        248 pages
        ISBN:1595934790
        DOI:10.1145/1150343

        Copyright © 2006 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 28 August 2006

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate133of347submissions,38%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader