skip to main content
10.1145/1176254.1176315acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Yield prediction for architecture exploration in nanometer technology nodes:: a model and case study for memory organizations

Published:22 October 2006Publication History

ABSTRACT

Process variability has a detrimental impact on the performance of memories and other system components, which can lead to parametric yield loss at the system level due to timing violations. Conventional yield models do not allow to accurately analyze this, at least not at the system level. In this paper we propose a technique to estimate this system level yield loss for a number of alternative memory organization implementations. This can aid the designer into making educated trade-offs at the architecture level between energy consumption and parametric timing yield by using memories from different available libraries with different energy/performance characteristics considering the impact of manufacturing variations. The accuracy of this technique is very high, an average error of less than 1% is reported, which enables an early exploration of the available options.

References

  1. A. Srivastava et al, "Concurrent Sizing, Vdd and Vth Assignment for low power design", DATE, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. T. Austin et al., "Making typical silicon matter with Razor", IEEE Computer, March 2004 Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Borkar, "Designing reliable systems from unreliable components: the challenges of transistor variability and degradation", IEEE Micro, Nov 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. C. Viswewariah, "Death, taxes and failing chips", DAC, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. A. Papanikolaou et al., "A System-Level Methodology for Fully Compensating Process Variability Impact of Memory Organizations in Periodic Applications", CODES+ISSS, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. Gupta, A. Kahng, "Manufacturing-aware physical design", ICCAD, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Papoulis, "The Fourier integral and its applications", McGraw-Hill, 1962.Google ScholarGoogle Scholar
  8. C. Genest, A.C. Favre, "Everything you always wanted to know about copula modeling but were afraid to ask",Journal of Hydrologic Engineering, 11, 2006Google ScholarGoogle Scholar
  9. Y. Cao et al, "New paradigm of predictive MOSFET and interconnect modeling for early circuit design", CICC, 2000.Google ScholarGoogle Scholar
  10. A. Sriristava et al. "Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance", DAC, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Srivastava et al, "Statistical optimization of leakage power considering process variations using dual-Vth and sizing", DAC, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Mani et al., "An efficient algorithm for statistical minimization of total power under timing yield constraints", DAC, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. International Technology Roadmap for Semiconductors, 2005 edition, http://public.itrs.netGoogle ScholarGoogle Scholar
  14. H. Wang et al., "Variable Tapered Pareto Buffer Design and Implementation Techniques Allowing Run-Time Configuration for Low Power Embedded SRAMs", IEEE Trans. on VLSI, Oct. 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. H.Wang et al., "Impact of deep submicron (DSM) process variation effects in SRAM design", DATE, 2005.Google ScholarGoogle Scholar
  16. A. Agarwal et al., "Process variation in embedded memories: failure analysis and variation aware architecture" IEEE Journal of Solid-State Circuits, Sept. 2005.Google ScholarGoogle Scholar
  17. C. Visweswariah, "Statistical Timing of Digital Integrated Circuits", Microprocessor Circuit Design Forum at ISSCC 2004.Google ScholarGoogle Scholar
  18. F. Catthoor et al., Custom memory management methodology exploration of memory organization for embedded multimedia system design, Kluwer, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. Yang et al., L. Capodieci, and D. Sylvester, "Advanced timing analysis based on post-OPC extraction of critical dimensions" DAC, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. PDF Solutions Inc. http://www.pdf.com/Google ScholarGoogle Scholar
  21. K. Patel et al., "Synthesis of partitioned shared memory architectures for energy-sufficient multi-processor SoC" DATE, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Artisan Memories http://www.artisan.com/Google ScholarGoogle Scholar
  23. Virage Logic http://www.viragelogic.com/Google ScholarGoogle Scholar
  24. L.Benini et al, "System-level power optimization techniques and tools", ACM Trans. on Design Automation for Embedded Systems, April 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Mathematica http://www.wolfram.com/Google ScholarGoogle Scholar

Index Terms

  1. Yield prediction for architecture exploration in nanometer technology nodes:: a model and case study for memory organizations

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      CODES+ISSS '06: Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
      October 2006
      328 pages
      ISBN:1595933700
      DOI:10.1145/1176254

      Copyright © 2006 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 22 October 2006

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate280of864submissions,32%

      Upcoming Conference

      ESWEEK '24
      Twentieth Embedded Systems Week
      September 29 - October 4, 2024
      Raleigh , NC , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader