skip to main content
10.1145/1176760.1176774acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

FlashCache: a NAND flash memory file cache for low power web servers

Published:22 October 2006Publication History

ABSTRACT

We propose an architecture that uses NAND flash memory to reduce main memory power in web server platforms. Our architecture uses a two level file buffer cache composed of a relatively small DRAM, which includes a primary file buffer cache, and a flash memory secondary file buffer cache. Compared to a conventional DRAM-only architecture, our architecture consumes orders of magnitude less idle power while remaining cost effective. This is a result of using flash memory, which consumes orders of magnitude less idle power than DRAM and is twice as dense. The client request behavior in web servers, allows us to show that the primary drawbacks of flash memory?endurance and long write latencies?can easily be overcome. In fact the wear-level aware management techniques that we propose are not heavily used.

References

  1. Error Correction Code in Single Level Cell NAND Flash Memories. http://www. st. com/stonline/products/literature/an/10123. pdf.Google ScholarGoogle Scholar
  2. Hybrid Hard Drives with Non-Volatile Flash and Longhorn. http://www. samsung. com/Products/HardDiskDrive/news/HardDiskDrive_20050425_0000117556. htm.Google ScholarGoogle Scholar
  3. JFFS:The Journalling Flash File System. http://sources. redhat. com/jffs2/jffs2. pdf.Google ScholarGoogle Scholar
  4. Micron DDR2 DRAM. http://www. micron. com/products/dram/ddr2/.Google ScholarGoogle Scholar
  5. The Micron system-power calculator. http://www. micron. com/products/dram/syscalc. html.Google ScholarGoogle Scholar
  6. Samsung NAND Flash memory datasheet. http://www. samsung. com/products/semiconductor/NANDFlash/SLC_LargeBlock/8Gbit/K9K8G08U0A/K9K8G08U0A. htm.Google ScholarGoogle Scholar
  7. SPECweb99 benchmark. http://www. spec. org/osg/web99/.Google ScholarGoogle Scholar
  8. Sun Fire T2000 Server Power Calculator. http://www. sun. com/servers/coolthreads/t2000/calc/index. jsp.Google ScholarGoogle Scholar
  9. TrueFFS. http://www. m-systems. com/site/en-US/Support/DeveloperZone/Software/LifespanCalc. htm.Google ScholarGoogle Scholar
  10. ITRS roadmap. Technical report, 2005.Google ScholarGoogle Scholar
  11. P. Barford and M. Crovella. Generating representative web workloads for network and server performance evaluation. In Measurement and Modeling of Computer Systems, pages 151--160, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The M5 simulator: Modeling networked systems. IEEE Micro, 26(4):52--60, Jul/Aug 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. E. L. Congduc. Packet classi cation in the NIC for improved SMP-based internet servers. In Proc. Int 'l Conf. on Networking, Feb. 2004.Google ScholarGoogle Scholar
  14. M. Ekman and P. Stenstr. A cost-effective main memory organization for future servers. In Proc. of the Int'l Parallel and Distributed Processing Symp., Apr 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Gregori, A. Cabrini, O. Khouri, and G. Torelli. On-chip error correcting techniques for new-generation flash memories. 91(4), Apr 2003.Google ScholarGoogle Scholar
  16. S. Gupta, M. Hilbert, S. Hong, and R. Patti. Techniques for producing 3D ICs with high-density interconnect. www. tezzaron. com/about/papers/ieee_vmic_2004_finalsecure. pdf.Google ScholarGoogle Scholar
  17. H. Huang, P. Pillai, and K. G. Shin. Design and Implementation of Power-Aware Virtual Memory. In USENIX Annual Technical Conference, pages 57--70, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. P. Kongetira, K. Aingaran, and K. Olukotun. Niagara: A 32-way multithreaded Sparc processor. IEEE Micro, 25(2):21--29, Mar. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. A. R. Lebeck, X. Fan, H. Zeng, and C. S. Ellis. Power aware page allocation. In Proc. Int 'l Conf. on Arch. Support for Programming Languages and Operating Systems, pages 105--116, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. Lee, S.-S. Lee, O.-S. Kwon, K.-H. Lee, D.-S. Byeon, I. -Y. Kim, K.-H. Lee, Y.-H. Lim, B.-S. Choi, J.-S. Lee, W.-C. Shin, J.-H. Choi, and K.-D. Suh. A 90-nm CMOS 1. 8-V 2-Gb NAND Flash Memory for Mass Storage Applications. 38(11), Nov 2003.Google ScholarGoogle Scholar
  21. G. MacGillivray. Process vs. density in DRAMs. http://www. eetasia. com/ARTICLES/2005SEP/B/2005SEP01_STOR_TA. pdf.Google ScholarGoogle Scholar
  22. C. Park, J. Seo, S. Bae, H. Kim, S. Kim, and B. Kim. A Low-cost Memory Architecture With NAND XIP for Mobile Embedded Systems. In Proc. Int'l Conf. on HW-SW Codesign and System Synthesis (CODES+ISSS), Oct 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. FlashCache: a NAND flash memory file cache for low power web servers

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        CASES '06: Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
        October 2006
        448 pages
        ISBN:1595935436
        DOI:10.1145/1176760

        Copyright © 2006 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 22 October 2006

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate52of230submissions,23%

        Upcoming Conference

        ESWEEK '24
        Twentieth Embedded Systems Week
        September 29 - October 4, 2024
        Raleigh , NC , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader