skip to main content
article

Propositional Satisfiability and Constraint Programming: A comparative survey

Published: 25 December 2006 Publication History

Abstract

Propositional Satisfiability (SAT) and Constraint Programming (CP) have developed as two relatively independent threads of research cross-fertilizing occasionally. These two approaches to problem solving have a lot in common as evidenced by similar ideas underlying the branch and prune algorithms that are most successful at solving both kinds of problems. They also exhibit differences in the way they are used to state and solve problems since SAT's approach is, in general, a black-box approach, while CP aims at being tunable and programmable. This survey overviews the two areas in a comparative way, emphasizing the similarities and differences between the two and the points where we feel that one technology can benefit from ideas or experience acquired from the other.

References

[1]
Abramovici, M., de Sousa, J. T., and Saab, D. 1999. A massively-parallel easily-scalable satisfiability solver using reconfigurable hardware. International Design Automation Conference (DAC). 684--690.]]
[2]
Adjiman, P., Chatalic, P., GoasdouE, F., Rousset, M.-C., and Simon, L. 2005. Scalability study of peer-to-peer consequence finding. International Joint Conference on Artificial Intelligence (IJCAI). 351--356.]]
[3]
Aloul, F., Markov, I., and Sakallah, K. 2003. Shatter: Efficient symmetry-breaking for Boolean satisfiability. International Design Automation Conference (DAC). 883--886.]]
[4]
Apt, K. R. 1999. The essence of constraint propagation. Theoret. Comput. Science 221, 1-2, 179--210.]]
[5]
Bacchus, F. and Walsh, T. 2005. Propagating logical combinations of constraints. International Joint. Conference on Artificial Intelligence (IJCAI). 35--40.]]
[6]
Bacchus, F. and Winter, J. 2003. Effective preprocessing with hyper-resolution and equality reduction. International Conference on Theory and Applications of Satisfiability Testing (SAT). 341--355.]]
[7]
Barrett, C., de Moura, L., and Stump, A. 2005. SMT-COMP: Satisfiability modulo theories competition. In International Conference on Computer-Aided Verification (CAV). Springer, 20--23.]]
[8]
Barrett, C., Dill, D., and Stump, A. 2002. Checking satisfiability of first-order formulas by incremental translation to SAT. In International Conference on Computer-Aided Verification (CAV). 236--249.]]
[9]
Bart, P. 1995. A Davis-Putnam based enumeration algorithm for linear pseudo-Boolean optimization. Tech. rep. 95-2-003, Max Planck Institute.]]
[10]
Bayardo, R. J. and Schrag, R. C. 1997. Using CSP look-back techniques to solve real world SAT instances. North American National Conference on Artificial Intelligence (AAAI). 203--208.]]
[11]
Beldiceanu, N., Carlsson, M., and Rampon, J.-X. 2005. Global constraint catalog. Tech. rep. T2005-08, Swedish Institute of Computer Science.]]
[12]
Beldiceanu, N. and Contejean, E. 1994. Introducing global constraints in CHIP. Mathem. Comput. model. 20, 12, 87--123.]]
[13]
Bellman, R. 1957. Dynamic Programming. Princeton University Press.]]
[14]
Benhamou, F. and Older, W. J. 1997. Applying interval arithmetic to real, integer, and Boolean constraints. J. Logic Program. 32, 1, 1--24.]]
[15]
Bessière, C. 1994. Arc-consistency and arc-consistency again. Artific. Intell. 65, 1, 179--190.]]
[16]
Bessière, C., Freuder, E. C., and Régin, J.-C. 1995. Using inference to reduce arc consistency computation. International Joint Conference on Artificial Intelligence (IJCAI). 592--599.]]
[17]
Bessière, C., Hébrard, E., and Walsh, T. 2003. Local consistencies in SAT. International Conference on Theory and Applications of Satisfiability Testing (SAT). 299--314.]]
[18]
Bessière, C. and Régin, J.-C. 1996. MAC and combined heuristics: Two reasons to forsake FC (and CBJ?) on hard problems. In International Conference on Principles and Practice of Constraint Programming (CP). 61--75.]]
[19]
Bessière, C., Regin, J.-C., Yap, R. H. C., and Zhang, Y. 2005. An optimal coarse-grained arc consistency algorithm. Artific. Intell. 165, 2, 165--185.]]
[20]
Biere, A., Cimatti, A., Clarke, E. M., and Zhu, Y. 1999. Symbolic model checking without BDDs. International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS). 193--207.]]
[21]
Bistarelli, S., Montanari, U., Rossi, F., Schiex, T., Verfaillie, G., and Fargier, H. 1999. Semiring-based CSPs and valued CSPs: Frameworks, properties, and comparison. Constraints 4, 3.]]
[22]
Blochinger, W., Westje, W., Küchlin, W., and Wedeniwski, S. 2005. ZetaSAT---Boolean satisfiability solving on desktop grids. In IEEE/ACM International Symposium on Cluster Computing and the Grid.]]
[23]
Brelaz, D. 1979. New methods to color vertices of a graph. Comm. ACM 22, 4, 251--256.]]
[24]
Bruynooghe, M. 1981. Solving combinatorial search problems by intelligent backtracking. Inform. Proces. Lett. 12, 1, 36--39.]]
[25]
Bryant, R. E. 1986. Graph-based algorithms for Boolean function manipulation. IEEE Trans. Comput. 35, 8, 677--691.]]
[26]
Bryant, R. E., Lahiri, S. K., and Seshia, S. A. 2002. Modeling and verifying systems using a logic of counter arithmetic with lambda expressions and uninterpreted functions. In International Conference on Computer-Aided Verification (CAV). 209--222.]]
[27]
Burch, J. R. and Dill, D. 1994. Automatic verification of pipelined microprocessor control. In International Conference on Computer-Aided Verification (CAV). 68--80.]]
[28]
Buro, M. and Büning, H. K. 1993. Report on a SAT competition. Bull. Europ. Assoc. Theoret. Comput. Science 49, 143--151.]]
[29]
Cadoli, M. and Mancini, T. 2006. Automated reformulation of specifications by safe delay of constraints. Artific. Intell. 170, 8-9, 779--801.]]
[30]
Chakradhar, S. T. and Agrawal, V. D. 1991. A transitive closure based algorithm for test generation. International Design Automation Conference (DAC). 353--358.]]
[31]
Chatalic, P. and Simon, L. 2001. Multiresolution for SAT checking. Int. J. Artific. Intell. Tools 10, 4, 451--481.]]
[32]
Chraback, W. and Wolski, R. 2003. GridSAT: A chaff-based SAT solver for the grid. International Conference on Supercomputing (SC). 37.]]
[33]
Chvatal, V. 1983. Linear Programming. W. H. Freeman Co.]]
[34]
Clarke, E. M., Biere, A., Raimi, R., and Zhu, Y. 2001. Bounded model checking using satisfiability solving. Formal Methods Syst. Design 19, 1, 7--34.]]
[35]
Cleary, J. G. 1987. Logical arithmetic. Future Comput. Syst. 2, 2, 125--149.]]
[36]
Codognet, P. and Diaz, D. 1996. Compiling constraints in CLP(FD). J. Logic Program. 27, 3, 185--226.]]
[37]
Collavizza, H. and Rueher, M. 2006. Exploration of the capabilities of constraint programming for software verification. International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS). 182--196.]]
[38]
Colmerauer, A. 1984. Equations and inequations on finite and infinite tress. International Conference on Fifth Generation Computing. 85--99.]]
[39]
Colmerauer, A. 1990. An introduction to prolog III. Comm. ACM 33, 7, 69--90.]]
[40]
Cousot, P. and Cousot, R. 1977. Automatic synthesis of optimal invariant assertions: mathematical foundations. In ACM Symposium on Artificial Intelligence and Programming Languages, ACM SIGPLAN Notes 12, 8, 1--12.]]
[41]
Dandalis, A. and Prasanna, V. K. 2002. Run-time performance optimization of an FPGA-based deduction engine for SAT solvers. ACM Trans. Des. Autom. Electron. Syst. 7, 4, 547--562.]]
[42]
Dantsin, E. and Wolpert, A. 2002. Solving constraint satisfaction problems with DNA computing. Computing and Combinatorics Conference (COCOON). 171--180.]]
[43]
Davenport, A. J., Tsang, E. P. K., Wang, C. J., and Zhu, K. 1994. GENET: A connectionist architecture for solving constraint satisfaction problems by iterative improvement. North American National Conference on Artificial Intelligence (AAAI). 325--330.]]
[44]
Davis, E. 1987. Constraint propagation with interval labels. Artific. Intell. 32, 3, 281--331.]]
[45]
Davis, M., Logemann, G., and Loveland, D. 1962. A machine program for theorem-proving. Comm. ACM 5, 7, 393--397.]]
[46]
Davis, M. and Putnam, H. 1960. A computing procedure for quantification theory. J. ACM 7, 3, 201--215.]]
[47]
Debruyne, R. and Bessière, C. 2001. Domain filtering consistencies. J. Artific. Intell. Resear. 14, 205--230.]]
[48]
Dechter, R. 1990. Enhancement schemes for constraint processing: Backjumping, learning, and cutset decomposition. Artific. Intell. 41, 3, 273--312.]]
[49]
Dechter, R. 2003. Constraint Processing. Morgan Kaufmann.]]
[50]
Delzanno, G. and Podelski, A. 2001. Constraint-based deductive model checking. Int. J. Softw. Tools Technol. Transfer 3, 3, 250--270.]]
[51]
Dershowitz, N., Hanna, Z., and Nadel, A. 2005. A clause-based heuristic for SAT solvers. International Conference on Theory and Applications of Satisfiability Testing (SAT). 46--60.]]
[52]
Dixon, H. E., Ginsberg, M. L., Luks, E. M., and Parkes, A. J. 2004. Generalizing Boolean satisfiability II: Theory. J. Artific. Intell. Resear. 22, 481--534.]]
[53]
Dorigo, M. and Stutzle, T. 2004. Ant Colony Optimization. MIT Press.]]
[54]
Èen, N. and Biere, A. 2005. Effective preprocessing in SAT through variable and clause elimination. International Conference on Theory and Applications of Satisfiability Testing (SAT). 61--75.]]
[55]
Fang, H. and Ruml, W. 2004. Complete local search for propositional satisfiability. North American National Conference on Artificial Intelligence (AAAI). 161--166.]]
[56]
Feldman, Y., Dershowitz, N., and Hanna, Z. 2005. Parallel multithreaded satisfiability solver: Design and implementation. Elec. Notes Theor. Comput. Science 128, 3, 75--90.]]
[57]
Fikes, R. 1970. REF-ARF: A system for solving problems stated as procedures. Artific. Intell. 1, 1/2, 27--120.]]
[58]
Flanagan, C., Joshi, R., Ou, X., and Saxe, J. B. 2003. Theorem proving using lazy proof explication. International Conference on Computer-Aided Verification (CAV). 355--367.]]
[59]
Fourer, R., Gay, D., and Kernighan, B. 1993. AMPL: A Modeling Language for Mathematical Programming. Duxbury Press.]]
[60]
Freeman, J. W. 1995. Improvements to propositional satisfiability search algorithms. Ph.D. thesis, Departement of Computer and Information Science, University of Pennsylvania, Philadelphia, PA.]]
[61]
Freuder, E. C. 1978. Synthesizing constraint expressions. Comm. of the ACM 21, 11, 958--966.]]
[62]
Freuder, E. C. and Wallace, R. J. 1992. Partial constraint satisfaction. Artific. Intell. 58, 1-3, 21--70.]]
[63]
Frisch, A. M., Jefferson, C., Martinez-Hernandez, B., and Miguel, I. 2005. The rules of constraint modeling. International Joint Conference on Artificial Intelligence (IJCAI). 109--117.]]
[64]
Ganai, M., Zhang, L., Ashar, P., Gupta, A., and Malik, S. 2002. Combining strengths of circuit-based and CNF-based algorithms for a high-performance sat solver. International Design Automation Conference (DAC). 747--750.]]
[65]
Ganai, M. K., Gupta, A., and Ashar, P. 2004. Efficient modeling of embedded memories in bounded model checking. International Conference on Computer-Aided Verification (CAV). 440--452.]]
[66]
Gaschnig, J. 1979. Performance measurement and analysis of certain search algorithms. Tech. rep. CMU-CS-79-124, Carnegie-Mellon University.]]
[67]
Génisson, R. and Jégou, P. 2000. On the relations between SAT and CSP enumerative algorithms. Discrete Appl. Mathemat. 107, 1-3, 27--40.]]
[68]
Gent, I. P. and Smith, B. M. 2000. Symmetry breaking in constraint programming. In European Conference on Artificial Intelligence (ECAI). 599--603.]]
[69]
Ginsberg, M. L. 1993. Dynamic backtracking. J. Artific. Intell. Resear. 1, 25--46.]]
[70]
Ginsberg, M. L., Parkes, A. J., and Roy, A. 1998. Supermodels and robustness. North American National Conference on Artificial Intelligence (AAAI). 334--339.]]
[71]
Giunchiglia, E., Maratea, M., and Tacchella, A. 2002. Dependent and independent variables for propositional satisfiability. European Conference on Logic in Artificial Intelligence (JELIA). 296--307.]]
[72]
Glover, F. and Laguna, M. 1995. Tabu search. In Modern Heuristic Techniques for Combinatorial Problems. McGraw-Hill, 70--150.]]
[73]
Goldberg, E. and Novikov, Y. 2002. BerkMin: A fast and robust SAT-solver. IEEE/ACM Design, Automation and Test in Europe (DATE). 142--149.]]
[74]
Golomb, S. W. and Baumert, L. D. 1965. Backtrack programming. J. ACM 12, 516--524.]]
[75]
Gomes, C. P., Selman, B., and Kautz, H. A. 1998. Boosting combinatorial search through randomization. North American National Conference on Artificial Intelligence (AAAI). 431--437.]]
[76]
Graf, T., Hentenryck, P. V., Pradelles, C., and Zimmer, L. 1989. Simulation of hybrid circuits in constraint logic programming. International Joint Conference on Artificial Intelligence (IJCAI). 72--77.]]
[77]
Gu, J. 1995. Parallel algorithms for satisfiability (SAT) problem. Parallel Processing of Discrete Optimization Problems. DIMACS, vol. 22. 105--161.]]
[78]
Gu, J., Purdom, P. W., Franco, J., and Wah, B. W. 1997. Algorithms for the satisfiability (SAT) problem: A survey. In Satisfiability Problem: Theory and Applications. DIMACS Series in Discrete Mathematics and Theoretical Computer Science. AMS, 19--152.]]
[79]
Hamadi, Y. 1999a. Optimal distributed arc-consistency. International Conference on Principles and Practice of Constraint Programming (CP). 219--233.]]
[80]
Hamadi, Y. 1999b. Traitement des problèmes de satisfaction de contraintes distribués. Ph.D. thesis, Université Montpellier.]]
[81]
Hamadi, Y. 2003. Disolver: A distributed constraint solver. Tech. rep. MSR-TR-2003-91, Microsoft Research.]]
[82]
Hamadi, Y. 2005. Conflicting agents in distributed search. Int. J. Artific. Intell. Tools 14, 3, 459--476.]]
[83]
Hamadi, Y., Bessière, C., and Quinqueton, J. 1998. Backtracking in distributed constraint networks. European Conference on Artificial Intelligence (ECAI). 219--223.]]
[84]
Hamadi, Y. and Merceron, D. 1997. Reconfigurable architectures: A new vision for optimization problems. International Conference on Principles and Practice of Constraint Programming (CP). Lecture Notes Computer Science, 209--221.]]
[85]
Harvey, W. D. and Ginsberg, M. L. 1995. Limited discrepancy search. J. Artific. Intell. Resear. (JAIR). 607--615.]]
[86]
Hebrard, E., Hnich, B., and Walsh, T. 2004. Robust solutions for constraint satisfaction and optimization. European Conference on Artificial Intelligence (ECAI). 186--190.]]
[87]
Henz, M., Tan, E., and Yap, R. H. C. 2001. One flip per clock cycle. International Conference on Principles and Practice of Constraint Programming (CP). 509--523.]]
[88]
Hirsch, E. A. and Kojevnikov, A. 2001. UnitWalk: A new SAT solver that uses local search guided by unit clause elimination. In International Conference on Theory and Applications of Satisfiability Testing (SAT). 35--42.]]
[89]
Hooker, J. 2000. Logic-Based Methods for Optimization: Combining Optimization and Constraint Satisfaction. John Wiley & Sons.]]
[90]
Hoos, H. 1999. On the run-time behaviour of stochastic local search methods for SAT. North American National Conference on Artificial Intelligence (AAAI). 661--666.]]
[91]
Hoos, H. and Stutzle, T. 2004. Stochastic Local Search: Foundations and Applications. Morgan Kaufmann.]]
[92]
Hopcroft, J. E. and Karp, R. M. 1973. An n&frac52; algorithm for maximum matchings in bipartite graphs. SIAM J. Comput. 2, 4, 225--231.]]
[93]
Hutter, F. and Hamadi, Y. 2005. Adjustment based on performance prediction: Towards an instance-aware problem solver. Tech. rep. MSR-TR-2005-125, Microsoft Research.]]
[94]
Hutter, F., Hamadi, Y., Hoos, H., and Leyton-Brown, K. 2006. Performance prediction and automated tuning of randomized and parametric algorithms. International Conference on Principles and Practice of Constraint Programming (CP). To appear.]]
[95]
Hutter, F., Tompkins, D. A. D., and Hoos, H. H. 2002. Scaling and probabilistic smoothing: Efficient dynamic local search fot SAT. International Conference on Principles and Practice of Constraint Programming (CP). 233--248.]]
[96]
Hyvönen, E. 1989. Constraint reasoning based on interval arithmetic. International Joint Conference on Artificial Intelligence (IJCAI). 1193--1198.]]
[97]
Jaffar, J. and Lassez, J.-L. 1987. Constraint Logic Programming. Conference Record of ACM Symposium on Principles of Programming Languages (POPL). 111--119.]]
[98]
Jeroslow, R. J. and Wang, J. 1990. Solving propositional satisfiability problems. Ann. Mathemat. Artific. Intell. 1, 167--188.]]
[99]
Kasif, S. 1990. On the parallel complexity of discrete relaxation in constraint satisfaction networks. Artific. Intell. 45, 3, 99--118.]]
[100]
Katsirelos, G. and Bacchus, F. 2005. Generalized nogood in CSPs. North American National Conference on Artificial Intelligence (AAAI). 390--396.]]
[101]
Kautz, H., Horvitz, E., Ruan, Y., Gomes, C., and Selman, B. 2002. Dynamic restart policies. North American National Conference on Artificial Intelligence (AAAI). 674--681.]]
[102]
Kautz, H. A. and Selman, B. 1992. Planning as satisfiability. European Conference on Artificial Intelligence (ECAI). 359--363.]]
[103]
Kirkpatrick, S., Gelatt, C., and Vecchi, M. 1983. Optimization by simulated annealing. Science 220, 671--680.]]
[104]
Kunz, W. and Pradhan, D. 1994. Recrusive Learning: A new implication technique for efficient solutions to CAD problems: Test, verification and optimization. IEEE Trans. Comput.-Aided Design 13, 9, 1143--1158.]]
[105]
Larrabee, T. 1992. Test pattern generation using Boolean satisfiability. IEEE Trans. Comput.-Aided Design 11, 1, 6--22.]]
[106]
Laurière, J.-L. 1978. A language and a program for stating and solving combinatorial problems. Artific. Intell. 10, 1, 29--127.]]
[107]
Leyton-Brown, K., Nudelman, E., and Shoham, Y. 2002. Learning the empirical hardness of optimization problems: The case of combinatorial auctions. International Conference on Principles and Practice of Constraint Programming (CP). 556--572.]]
[108]
Lhomme, O. 1993. Consistency techniques for numeric CSPs. International Joint Conference on Artificial Intelligence (IJCAI). 232--238.]]
[109]
Li, C.-M. 2000. Integrating equivalency reasoning into Davis-Putnam procedure. North American National Conference on Artificial Intelligence (AAAI). 291--296.]]
[110]
López-Ortiz, A., Quimper, C.-G., Tromp, J., and van Beek, P. 2003. A fast and simple algorithm for bounds consistency of the alldifferent constraint. International Joint Conference on Artificial Intelligence (IJCAI). 245--250.]]
[111]
Lu, F., Wang, L.-C., Cheng, K.-T., and Huang, R. C.-Y. 2003. A circuit SAT solver with signal correlation guided learning. IEEE/ACM Design, Automation and Test in Europe (DATE). 10892--10897.]]
[112]
Lynce, I. and Marques-Silva, J. 2002. The effect of nogood recording in DPLL-CBJ SAT algorithms. International Workshop on Constraint Solving and Constraint Logic Programming (CSCLP). 144--158.]]
[113]
Lynce, I. and Marques-Silva, J. 2004. On computing minimum unsatisfiable cores. International Conference on Theory and Applications of Satisfiability Testing (SAT). 305--310.]]
[114]
Mac Allester, D. A. 1990. Truth maintenance. North American National Conference on Artificial Intelligence (AAAI). 1109--1116.]]
[115]
Mackworth, A. 1977. Consistency in networks of relations. Artific. Intell. 8, 99--118.]]
[116]
Mackworth, A. K. and Freuder, E. C. 1985. The complexity of some polynomial network consistency algorithms for constraint satisfaction problems. Artific. Intell. 25, 1, 65--74.]]
[117]
Manquinho, V. M. amd Marques-Silva, J. P., Oliveira., A. L., and Sakallah, K. A. 1998. Satisfiability-based algorithms for 0-1 integer programming. International Workshop on Logic Synthesis.]]
[118]
Marinov, D., Khurshid, S., Bugrara, S., Zhang, L., and Rinard, M. 2005. Optimizations for compiling declarative models into Boolean formulas. International Conference on Theory and Applications of Satisfiability Testing (SAT). 187--202.]]
[119]
Marques-Silva, J. P. 1999. The impact of branching heuristics in propositional satisfiability algorithms. 9th Portuguese Conference on Artificial Intelligence (EPIA).]]
[120]
Marques-Silva, J. P. 2000. Algebraic simplification techniques for propositional satisfiability. International Conference on Principles and Practice of Constraint Programming (CP). 537--542.]]
[121]
Marques-Silva, J. P. and Glass, T. 1999. Combinational equivalence checking using satisfiability and recursive learning. IEEE/ACM Design, Automation and Test in Europe (DATE). 145--149.]]
[122]
Marques-Silva, J. P. and Sakallah, K. A. 1996. GRASP - A new search algorithm for satisfiability. International Conference on Computer Aided Design (ICCAD). 220--227.]]
[123]
Marriott, K. and Stuckey, P. J. 1998. Programming with Constraints: An Introduction. MIT Press.]]
[124]
McMillan, K. L. 2003. Interpolation and SAT-based model checking. International Conference on Computer-Aided Verification (CAV). 1--13.]]
[125]
McMillan, K. L. and Amla, N. 2003. Automatic abstraction without counterexamples. International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS). 2--17.]]
[126]
Meseguer, P. 1997. Interleaved depth-first search. International Joint Conference on Artificial Intelligence (IJCAI). 1382--1387.]]
[127]
Meseguer, P. and Walsh, T. 1998. Interleaved and discrepancy based search. European Conference on Artificial Intelligence (ECAI). 239--243.]]
[128]
Mézard, M. and Zecchina, R. 2002. Random k-satisfiability: from an analytic solution to a new efficient algorithm. Physical Rev. E, 66, 056126.]]
[129]
Michalewicz, Z. 1995. A survey constraint handling techniques in evolutionary computation methods. International Conference on Evolutionary Programming (EP). 135--155.]]
[130]
Milano, M. 2004. Constraint and Integer Programming: toward a unified methodology. Kluwer.]]
[131]
Mills, P. and Tsang, E. P. K. 2000. Guided local search for solving SAT and weighted MAX-SAT problems. J. Automat. Reaso. 24, 205--223.]]
[132]
Mitchell, D. G. 1998. Hard problems for CSP algorithms. In North American National Conference on Artificial Intelligence (AAAI). 398--405.]]
[133]
Mitchell, D. G. 2005. A SAT solver primer. Bull. Euro. Ass. Theoret. Comput. Science 85, 112--133.]]
[134]
Mohr, R. and Henderson, T. C. 1986. Arc and path consistency revisited. Artific. Intell. 28, 2, 225--233.]]
[135]
Monfroy, E. and Castro, C. 2003. Basic components for constraint solver cooperations. ACM International Symposium on Applied Computing (SAC). 367--374.]]
[136]
Montanari, U. 1974. Networks of constraints: Fundamental properties and applications to picture processing. Inform. Science 7, 2, 85--132.]]
[137]
Moore, R. E. 1966. Interval Analysis. Prentice-Hall.]]
[138]
Moskewicz, M. W., Madigan, C. F., Zhao, Y., Zhang, L., and Malik, S. 2001. Chaff: Engineering an efficient SAT solver. International Design Automation Conference (DAC). 530--535.]]
[139]
Nam, G.-J., Aloul, F., Sakallah, K. A., and Rutenbar, R. A. 2004. A comparative study of two Boolean formulations of FPGA detailed routing constraints. IEEE Trans. Compute. 53, 6, 688--696.]]
[140]
Nelson, G. and Oppen, D. C. 1979. Simplification by cooperating decision procedures. ACM Trans. Program. Lang. Syst. 1, 2, 245--257.]]
[141]
Nieuwenhuis, R. and Oliveras, A. 2005. DPLL(T) with exhaustive theory propagation and its application to difference logic. International Conference on Computer-Aided Verification (CAV). 321--334.]]
[142]
Oh, Y., Mneimneh, M. N., Andraus, Z. S., Sakallah, K. A., and Markov, I. L. 2004a. Amuse: A minimally-unsatisfiable subformula extractor. In Proceedings of the Design Automation Conference (DAC). ACM/IEEE, 518--523.]]
[143]
Oh, Y., Mneimneh, M. N., Andraus, Z. S., Sakallah, K. A., and Markov, I. L. 2004b. Amuse: A minimally unsatisfiable subformula extractor. International Design Automation Conference (DAC). 518--523.]]
[144]
Pesant, G. and Gendreau, M. 1999. A constraint programming framework for local search methods. J. of Heuristics 5, 3, 255--279.]]
[145]
Prasad, M. R., Biere, A., and Gupta, A. 2005. A survey of recent advances in sat-based formal verification. International J. Softw. Tools Technol. Transfer 7, 2, 156--173.]]
[146]
Prosser, P. 1993. Hybrid algorithms for the constraint satisfaction problem. Computat. Intell. 9, 268--299.]]
[147]
Pruul, E. A. and Nemhauser, G. L. 1988. Branch-and-bound and parallel computation: A historical note. Operat. Resear. Lett. 7, 2, 65--69.]]
[148]
Puget, J.-F. 1994. A C++ implementation of CLP. Tech. rep., ILOG, inc. ILOG Solver Collected Papers.]]
[149]
Puget, J. F. 2004. CP's next challenge: simplicity of use. International Conference on Principles and Practice of Constraint Programming (CP). invited talk.]]
[150]
Ranise, S. and Tinelli, C. 2003. The SMT-LIB format: An initial proposal. International Workshop on Pragmatics of Decision Procedures in Automated Reasoning.]]
[151]
Rao, V. N. and Kumar, V. 1993. On the efficiency of parallel bactracking. IEEE Trans. Parall. Distribut. Syst. 4, 4, 427--437.]]
[152]
Régin, J.-C. 1994. A filtering algorithm for constraints of difference in CSPs. North American National Conference on Artificial Intelligence (AAAI). 362--367.]]
[153]
Régin, J.-C. 1996. Generalized arc consistency for global cardinality constraint. North American National Conference on Artificial Intelligence (AAAI). 209--215.]]
[154]
Ringwelski, G. and Hamadi, Y. 2005. Boosting distributed constraint satisfaction. International Conference on Principles and Practice of Constraint Programming (CP). 549--562.]]
[155]
Robinson, J. A. 1965. A machine-oriented logic based on the resolution principle. J. ACM 12, 1, 23--41.]]
[156]
Ryan, L. 2004. Efficient algorithms for clause learning SAT solvers. Tech. rep., Simon Fraser University.]]
[157]
Sabharwal, A. 2005. Symchaff: A structure-aware satisfiability solver. North American National Conference on Artificial Intelligence (AAAI). 467--474.]]
[158]
Sabin, D. and Freuder, E. 1994. Contradicting conventional wisdom in constraint satisfaction. European Conference on Artificial Intelligence (ECAI). 125--129.]]
[159]
Selman, B., Kautz, H., and Cohen, B. 1994. Noise strategies for improving local search. In North American National Conference on Artificial Intelligence (AAAI). 337--343.]]
[160]
Selman, B., Levesque, H. J. and Mitchell, D. G. 1992. A new method for solving hard satisfiability problems. North American National Conference on Artificial Intelligence (AAAI). 440--446.]]
[161]
Seshia, S. A. and Bryant, R. E. 2004. Deciding quantifier-free presburger formulas using parameterized solution bounds. In IEEE International Symposium Logic in Computer Science (LICS). 100--109.]]
[162]
Seshia, S. A., Lahiri, S. K., and Bryant, R. E. 2003. A hybrid SAT-based decision procedure for separation logic with uninterpreted functions. International Design Automation Conference (DAC). 425--430.]]
[163]
Sheeran, M. and Stâlmarck, G. 2000. A tutorial on stâlmarcks's proof procedure for propositional logic. Formal Methods Syst. Design 16, 23--58.]]
[164]
Sheini, H. M. and Sakallah, K. A. 2005. A sat-based decision procedure for mixed logical/integer linear problems. International Conference on Integration of AI and OR Techniques in CP for Combinatorial Optimization Problems (CP-AI-OR). 320--335.]]
[165]
Shlyakhter, I., Seater, R., Jackson, D., Sridharan, M., and Taghdiri, M. 2003. Debugging overconstrained declarative models using unsatisfiable cores. IEEE/ACM International Conference on Automated Software Engineering (ASE). 94--105.]]
[166]
Shostak, R. E. 1984. Deciding combinations of theories. J. ACM 31, 1, 1--12.]]
[167]
Simonis, H. and Dincbas, M. 1987. Using logic programming for fault diagnosis in digital circuits. German Workshop on Artificial Intelligence, (GWAI). 139--148.]]
[168]
Sinz, C., Blochinger, W., and Küchlin, W. 2001. PaSAT---parallel SAT-checking with lemma exchange: Implementation and applications. Elec. Notes in Discrete Math. 9.]]
[169]
Skliarova, I. and de Brito Ferrari, A. 2004. Reconfigurable hardware SAT solvers: A survey of systems. IEEE Trans. Comput. 53, 11, 1449--1461.]]
[170]
Smith, B. 2002. Solve your problem faster---by changing the model. International Workshop on Constraint Solving and Constraint Logic Programming (CSCLP). invited talk.]]
[171]
Stallman, R. M. and Sussman, G. J. 1977. Forward reasoning and dependency-directed backtracking in a system for computer-aided circuit analysis. Artific. Intell. 9, 135, 135--196.]]
[172]
Strichman, O. 1999. Tuning SAT checkers for bounded model checking. International Conference on Computer-Aided Verification (CAV). 480--494.]]
[173]
Strichman, O., Seshia, S. A., and Bryant, R. E. 2002. Deciding separation formulas with SAT. International Conference on Computer-Aided Verification (CAV). 209--222.]]
[174]
Subbarayan, S. and Pradhan, D. K. 2004. NiVER: Non increasing variable elimination resolution for preprocessing SAT instances. International Conference on Theory and Applications of Satisfiability Testing (SAT). 351--356.]]
[175]
Swain, M. J. and Cooper, P. R. 1988. Parallel hardware for constraint satisfaction. National Conference on Artificial Intelligence. Los Altos, CA, 682--686.]]
[176]
Thiffault, C., Bacchus, F., and Walsh, T. 2004. Solving non-clausal formulas with DPLL search. International Conference on Principles and Practice of Constraint Programming (CP). 663--678.]]
[177]
Tseitin, G. 1968. On the complexity of derivation in propositional calculus. Studies in Constructive Mathematics and Mathematical Logic, part 2, 115--125.]]
[178]
Van Gelder, A. and Tsuji, Y. K. 1996. Satisfiability testing with more reasoning and less guessing. Cliques, Coloring, and Satisfiability: Second DIMACS Implementation Challenge. AMS, 559--586.]]
[179]
Van Hentenryck, P. 1989. Constraint Satisfaction in Logic Programming. MIT Press.]]
[180]
Van Hentenryck, P. and Deville, Y. 1991. The cardinality operator: A new logical connective for Constraint Logic Programming. International Conference on Logic Programming (ICLP). 745--759.]]
[181]
Van Hentenryck, P., Deville, Y., and Teng, C.-M. 1992. A generic arc-consistency algorithm and its specializations. Artific. Intell. 57, 2-3, 291--321.]]
[182]
Van Hentenryck, P. and Michel, L. 2002. A constraint-based architecture for local search. ACM Conference on Object-Oriented Programming Systems, Languages and Applications (OOPSLA). 83--100.]]
[183]
Van Hentenryck, P. and Michel, L. 2005. Constraint-Based Local Search. MIT Press.]]
[184]
Van Hentenryck, P., Michel, L., and Deville, Y. 1997. Numerica: A Modeling Language for Global Optimization. MIT Press.]]
[185]
Van Hentenryck, P., Perron, L., and Puget, J.-F. 2000. Search and strategies in OPL. ACM Trans. Computat. Logic 1, 2, 285--320.]]
[186]
Van Hentenryck, P., Saraswat, V. A., and Deville, Y. 1998. Design, implementation, and evaluation of the constraint language CC(FD). J. Logic Program. 37, 1-3, 139--164.]]
[187]
Velev, M. 2004. Exploiting signal unobservability for efficient translation to CNF in formal verification of microprocessors. IEEE/ACM Design, Automation and Test in Europe (DATE). 266--217.]]
[188]
Verfaillie, G., Lemaître, M., and Schiex, T. 1996. Russian Doll Search for solving constraint optimization problems. North American National Conference on Artificial Intelligence (AAAI). 181--187.]]
[189]
Walsh, T. 1997. Depth-bounded discrepancy search. In International Joint Conference on Artificial Intelligence (IJCAI). 1388--1395.]]
[190]
Walsh, T. 2000. SAT v CSP. International Conference on Principles and Practice of Constraint Programming (CP). 441--456.]]
[191]
Waltz, D. L. 1975. Generating semantic descriptions from drawings of scenes with shadows. The Psychology of Computer Vision. McGraw-Hill, Chapter 3. (Preliminary version as MIT research report (MAC-AI-TR-271), 1972.)]]
[192]
Wolsey, L. 1998. Integer Programming. Wiley Interscience.]]
[193]
Xilinx-Inc. 1991. The Programmable Gate Array Data Book. Product Briefs.]]
[194]
Yokoo, M., Ishida, T., and Kubawara, K. 1990. Distributed constraint satisfaction for DAI problems. International Workshop on Distributed Artificial Intelligence.]]
[195]
Yokoo, M., Suyama, T., and Sawada, H. 1996. Solving satisfiability problems using field programmable gate arrays: First results. International Conference on Principles and Practice of Constraint Programming (CP). 497--509.]]
[196]
Zhang, H., Bonacina, M., and Hsiang, H. 1996. PSATO: a distributed propositional prover and its application to quasigroup problems. J. Symbolic Computat. 21, 543--560.]]
[197]
Zhang, H. and Stickel, M. E. 2000. Implementing the Davis-Putnam method. J. Autom. Reason. 24, 1/2, 277--296.]]
[198]
Zhang, L. and Malik, S. 2003a. Cache performance of SAT solvers: A case study for efficient implementation of algorithms. International Conference on Theory and Applications of Satisfiability Testing (SAT). 287--298.]]
[199]
Zhang, L. and Malik, S. 2003b. Validating SAT solvers using an independent resolution-based checker: Practical implementations and other applications. IEEE/ACM Design, Automation and Test in Europe (DATE). 10880--10885.]]
[200]
Zhang, L., Moskewicz, M. W., Madigan, C. F., and Malik, S. 2001. Efficient conflict driven learning in a Boolean satisfiability solver. International Conference on Computer Aided Design (ICCAD). 279--285.]]
[201]
Zhong, P., Martonosi, M., Ashar, P., and Malik, S. 1997. Implementing Boolean satisfiability in configurable hardware. International Workshop on Logic Synthesis.]]
[202]
Zhong, P., Martonosi, M., Ashar, P., and Malik, S. 1998. Solving Boolean satisfiability with dynamic hardware configurations. Field-Programmable Logic and Applications. 326--335.]]

Cited By

View all
  • (2023)Trusting my predictions: on the value of Instance-Level analysisACM Computing Surveys10.1145/3615354Online publication date: 9-Aug-2023
  • (2023)Fuzzing for CPS Mutation TestingProceedings of the 38th IEEE/ACM International Conference on Automated Software Engineering10.1109/ASE56229.2023.00079(1377-1389)Online publication date: 11-Nov-2023
  • (2023)An efficient circuit-based SAT solver and its application in logic equivalence checkingMicroelectronics Journal10.1016/j.mejo.2023.106005142(106005)Online publication date: Dec-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Computing Surveys
ACM Computing Surveys  Volume 38, Issue 4
2006
153 pages
ISSN:0360-0300
EISSN:1557-7341
DOI:10.1145/1177352
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 25 December 2006
Published in CSUR Volume 38, Issue 4

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. SAT
  2. Search
  3. constraint satisfaction

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)31
  • Downloads (Last 6 weeks)6
Reflects downloads up to 07 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2023)Trusting my predictions: on the value of Instance-Level analysisACM Computing Surveys10.1145/3615354Online publication date: 9-Aug-2023
  • (2023)Fuzzing for CPS Mutation TestingProceedings of the 38th IEEE/ACM International Conference on Automated Software Engineering10.1109/ASE56229.2023.00079(1377-1389)Online publication date: 11-Nov-2023
  • (2023)An efficient circuit-based SAT solver and its application in logic equivalence checkingMicroelectronics Journal10.1016/j.mejo.2023.106005142(106005)Online publication date: Dec-2023
  • (2023)The Combination of P-BFT and RAFT: A New Approach to Building Networks that Provide Reliability and SecurityComputational Science and Its Applications – ICCSA 2023 Workshops10.1007/978-3-031-37105-9_38(572-583)Online publication date: 3-Jul-2023
  • (2023)A Decentralized Mechanism to Decouple Vendor-Specific Access Management from IoT Devices Using Blockchain Technology, Smart Contract, and WalletsComputational Science and Its Applications – ICCSA 2023 Workshops10.1007/978-3-031-37105-9_36(538-554)Online publication date: 3-Jul-2023
  • (2023)Solving 3SAT and MIS Problems with Analog Quantum MachinesComputational Science and Its Applications – ICCSA 2023 Workshops10.1007/978-3-031-37105-9_29(429-439)Online publication date: 3-Jul-2023
  • (2023)A Methodological Framework to Assess Individual Sustainable BehaviorComputational Science and Its Applications – ICCSA 2023 Workshops10.1007/978-3-031-37105-9_26(392-404)Online publication date: 3-Jul-2023
  • (2023)Evolution of Applications: From Natively Installed to Web and DecentralizedComputational Science and Its Applications – ICCSA 2023 Workshops10.1007/978-3-031-37105-9_18(253-270)Online publication date: 3-Jul-2023
  • (2023)Forecasting Multivariate Time Series with a Dynamic-System-Based Hybrid ModelComputational Science and Its Applications – ICCSA 2023 Workshops10.1007/978-3-031-37105-9_13(177-191)Online publication date: 3-Jul-2023
  • (2023)Hopf Bifurcation of a Delayed SVEIS Worm Propagation Model with Nonlinear Incidence RateComputational Science and Its Applications – ICCSA 2023 Workshops10.1007/978-3-031-37105-9_10(130-143)Online publication date: 3-Jul-2023
  • Show More Cited By

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media