skip to main content
article

A critical-path-aware partial gating approach for test power reduction

Published: 01 April 2007 Publication History

Abstract

Power reduction during test application is important from the viewpoint of chip reliability and for obtaining correct test results. One of the ways to reduce scan test power is to block transitions propagating from the outputs of scan cells through combinational logic. In order to accomplish this, some researchers have proposed setting primary inputs to appropriate values or adding extra gates at the outputs of scan cells. In this article, we point out the limitations of such full gating techniques in terms of area overhead and performance degradation. We propose an alternate solution where a partial set of scan cells is gated. A subset of scan cells is selected to give maximum reduction in test power within a given area constraint. An alternate formulation of the problem is to treat maximum permitted test power as a constraint and achieve a test power that is within this limit using the fewest number of gated scan cells, thereby leading to the least impact in area overhead. Our problem formulation also comprehends performance constraints and prevents the inclusion of gating points on critical paths. The area overhead is predictable and closely corresponds to the average power reduction.

References

[1]
Ahmed, N., Tehranipoor, M., and Nourani, M. 2004. Low power pattern generation for BIST architecture. Vol. II. In Proceedings of the International Symposium on Circuits and Systems (ISCAS). 689--92.
[2]
Bhunia, S., Mahmoodi, H., Mukhopadhyay, S., Ghosh, D., and Roy, K. 2004. A novel low-power scan design technique using supply gating. In Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors (ICCD). 60--65.
[3]
Bushnell, M. L. and Agrawal, V. D. 2000. Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits. Kluwer Academic, Boston, MA.
[4]
Butler, K., Saxena, J., Jain, A., Fryars, T., Lewis, J., and Hetherington, G. 2004. Minimizing power consumption in scan testing: Pattern generation and DFT techniques. In Proceedings of the International Test Conference (ITC). 355--364.
[5]
Chakravarty, S. and Dabholkar, V. 1994. Two techniques for minimizing power dissipation in scan circuits during test application. In Proceedings of the Asian Test Symposium (ATS). 324--329.
[6]
Chou, R., Saluja, K., and Agrawal, V. 1997. Scheduling tests for VLSI systems under power constraints. IEEE Trans. Very Large Scale Integration Syst. 5, 2, 175--185.
[7]
Dabholkar, V., Chakravarty, S., Pomeranz, I., and Reddy, S. 1998. Techniques for minimizing power dissipation in scan and combinational circuits during test application. IEEE Trans. Comput. Aided Des. Integrated Circ. Syst. 17, 12, 1325--1333.
[8]
Gerstendorfer, S. and Wunderlich, H.-J. 1999. Minimized power consumption for scan-based BIST. In Proceedings of the International Test Conference (ITC). 77--84.
[9]
Ghosh, D., Bhunia, S., and Roy, K. 2003. Multiple scan chain design technique for power reduction during test application in BIST. In Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in Very Large Scale Integrated Systems. 191--198.
[10]
Girard, P. 2002. Survey of low-power testing of VLSI circuits. IEEE Des. Test Comput. 19, 3, 80--90.
[11]
Girard, P., Guiller, L., Landrault, C., and Pravossoudovitch, S. 1999a. Circuit partitioning for low power BIST design. In Proceedings of the Asian Test Symposium (ATS). 89--94.
[12]
Girard, P., Guiller, L., Landrault, C., and Pravossoudovitch, S. 1999b. A test vector ordering technique for switching activity reduction during test operation. In Proceedings of the Great Lakes Symposium on Very Large Scale Integration. 24--27.
[13]
Girard, P., Guiller, L., Landrault, C., and Pravossoudovitch, S. 2000. Low power BIST design by hypergraph partitioning: Methodology and architectures. In Proceedings of the International Test Conference (ITC). 652--661.
[14]
Girard, P., Landrault, C., Pravossoudovitch, S., and Severac, D. 1998. Reducing power consumption during test application by test vector ordering. In Proceedings of the International Symposium on Circuits and Systems (ISCAS). 296--299.
[15]
Huang, T. and Lee, K. 2001. Reduction of power consumption in scan-based circuits during test application by an input control technique. IEEE Trans. Comput. Aided Des. Integrated Circ. Syst. 20, 7, 911--917.
[16]
Nicolici, N. and Al-Hashimi, M. 2000. Scan latch partitioning into multiple scan chains for power minimization in full scan sequential circuits. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE). 715--722.
[17]
Ravikumar, C., Chandra, G., and Verma, A. 2000. Simultaneous module selection and scheduling for power-constrained testing of core based systems. In Proceedings of the International Conference on Very Large Scale Integration Design. 462--467.
[18]
Sankaralingam, R., Oruganti, R., and Touba, N. 2000. Static compaction techniques to control scan vector power dissipation. In Proceedings of the Very Large Scale Integrated Test Symposium (VTS). 35--40.
[19]
Sankaralingam, R., Pouya, B., and Touba, N. 2001. Reducing power dissipation during test using scan chain disable. In Proceedings of the Very Large Scale Integrated Test Symposium (VTS). 319--324.
[20]
Sankaralingam, R. and Touba, N. 2002a. Controlling peak power during scan testing. In Proceedings of the Very Large Scale Integrated Test Symposium (VTS). 153--159.
[21]
Sankaralingam, R. and Touba, N. 2002b. Inserting test points to control peak power during scan testing. In Proceedings of the International Symposium on Defect and Fault Tolerance in Very Large Scale Integrated Systems (DFT). 138--146.
[22]
Saxena, J., Butler, K., and Whetsel, L. 2001. An analysis of power reduction techniques in scan testing. In Proceedings of the International Test Conference (ITC). 670--677.
[23]
Synopsys Inc. 2004a. Synopsys Design Compiler User Manual for Synopsys Toolset version 2004.06. Synopsys Inc.
[24]
Synopsys Inc. 2004b. Synopsys DFT Compiler User Manual for Synopsys Toolset version 2004.06. Synopsys Inc.
[25]
Synopsys Inc. 2004c. Synopsys Prime Power User Manual for Synopsys Toolset version 2004.06. Synopsys Inc.
[26]
Synopsys Inc. 2004d. Synopsys TetraMax User Manual for Synopsys Toolset version 2004.06. Synopsys Inc.
[27]
Wang, S. and Gupta, S. 1994. ATPG for heat dissipation minimization during test application. In Proceedings of the International Test Conference (ITC). 250--258.
[28]
Wang, S. and Gupta, S. 1997. ATPG for heat dissipation minimization during scan testing. In Proceedings of the Design Automation Conference (DAC). 614--619.
[29]
Whetsel, L. 2000. Adapting scan architectures for low power operation. In Proceedings of the International Test Conference (ITC). 863--872.
[30]
Zhang, X. and Roy, K. 2000. Power reduction in test-per-scan BIST. In Proceedings of the IEEE On-Line Testing Workshop. 133--138.
[31]
Zorian, Y. 1993. A distributed BIST control scheme for complex VLSI devices. In Proceedings of the Very Large Scale Integrated Test Symposium (VTS). 4--9.

Cited By

View all
  • (2017)The Design and Implementation of a Low-Power Gating Scan Element in 32/28 nm CMOS TechnologyJournal of Low Power Electronics and Applications10.3390/jlpea70200077:2(7)Online publication date: 28-Apr-2017
  • (2017)Physical-aware gating element insertion for thermal-safe scan shift operationIEICE Electronics Express10.1587/elex.14.2016118114:7(20161181-20161181)Online publication date: 2017
  • (2017)An integrated DFT solution for power reduction in scan test applications by low power gating scan cellIntegration, the VLSI Journal10.1016/j.vlsi.2016.12.00957:C(108-124)Online publication date: 1-Mar-2017
  • Show More Cited By

Index Terms

  1. A critical-path-aware partial gating approach for test power reduction

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 12, Issue 2
      April 2007
      222 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/1230800
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 01 April 2007
      Published in TODAES Volume 12, Issue 2

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Low-power testing
      2. partial gating
      3. scan cell gating
      4. scan testing

      Qualifiers

      • Article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)2
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 05 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2017)The Design and Implementation of a Low-Power Gating Scan Element in 32/28 nm CMOS TechnologyJournal of Low Power Electronics and Applications10.3390/jlpea70200077:2(7)Online publication date: 28-Apr-2017
      • (2017)Physical-aware gating element insertion for thermal-safe scan shift operationIEICE Electronics Express10.1587/elex.14.2016118114:7(20161181-20161181)Online publication date: 2017
      • (2017)An integrated DFT solution for power reduction in scan test applications by low power gating scan cellIntegration, the VLSI Journal10.1016/j.vlsi.2016.12.00957:C(108-124)Online publication date: 1-Mar-2017
      • (2016)Comprehensive optimization of scan chain timing during late-stage IC implementationProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2897998(1-6)Online publication date: 5-Jun-2016
      • (2015)A novel scan architecture for low power scan-based testingVLSI Design10.1155/2015/2640712015(3-3)Online publication date: 1-Jan-2015
      • (2014)Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise in Integrated CircuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2013.226381222:5(1030-1041)Online publication date: May-2014
      • (2013)Power-safe application of tdf patterns to flip-chip designs during wafer testACM Transactions on Design Automation of Electronic Systems10.1145/2491477.249148718:3(1-20)Online publication date: 29-Jul-2013
      • (2012)A Transition Isolation Scan Cell Design for Low Shift and Capture PowerProceedings of the 2012 IEEE 21st Asian Test Symposium10.1109/ATS.2012.29(107-112)Online publication date: 19-Nov-2012
      • (2011)Low Power Testing—What Can Commercial Design-for-Test Tools Provide?Journal of Low Power Electronics and Applications10.3390/jlpea10303571:3(357-372)Online publication date: 9-Dec-2011
      • (2011)Power-safe test application using an effective gating approach considering current limits29th VLSI Test Symposium10.1109/VTS.2011.5783777(160-165)Online publication date: May-2011
      • Show More Cited By

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media