skip to main content
10.1145/1233501.1233579acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Voltage island aware floorplanning for power and timing optimization

Published:05 November 2006Publication History

ABSTRACT

Power consumption is a crucial concern in nanometer chip design. Researchers have shown that multiple supply voltage (MSV) is an effective method for power consumption reduction. The underlying idea behind MSV is the trade-off between power saving and performance. In this paper, we present an effective voltage assignment technique based on dynamic programming. Given a netlist without reconvergent fanouts, the dynamic programming can guarantee an optimal solution for the voltage assignment. We then generate a level shifter for each net that connects two blocks in different voltage domains, and perform power-network aware floorplanning for the MSV design. Experimental results show that our floorplanner is very effective in optimizing power consumption under timing constraints.

References

  1. Y.-C. Chang, Y.-W. Chang, G.-M. Wu, and S.-W. Wu, "B*-trees: A New Representation for Non-slicing Floorplans," Proc. DAC, pp. 458--463, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. T.-C. Chen and Y.-W. Chang, "Modern Floorplanning Based on Fast Simulated Annealing," Proc. ISPD, pp. 104--112, April 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. K. Chaudhary and M. Pedram, "Computing the Area Versus Delay Trade-Off Curves in Technology Mapping," IEEE Trans. on Computer-Aided Design, vol. 14, Dec. 1995.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Chang and M. Pedram, "Energy Minimization Using Multiple Supply Voltages," Proc. ISLPED, pp. 157--162, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Chang and M. Pedram, "Energy Minimization Using Multiple Supply Voltages," IEEE Trans. on VLSI Systems, vol. 5, Dec 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. M.-C. Wu and Y.-W. Chang, "Placement with Alignment and Performance Constraint Using the B*-tree Representation," Proc. ICCD, pp.568--571, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. B. Kahng, "Classical Floorplanning Harmful?" Proc. ISPD, pp. 207--213, April 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Kirkpatrick, Gelatt, and Vecchi, "Optimization by Simulated Annealing," Science, May 1983.Google ScholarGoogle Scholar
  9. S. H. Kulkarni, A. N. Srivastava, and D. Sylvester, "A New Algorithm for Improved VDD Assignment in Low Power Dual VDD Systems," Proc. ISLPED, pp. 200--205, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Puri, L. Stok, J. Cohn, D. Kung, D. Pan, D. Sylvester, A. Srivastava, and S. Kulkarni, "Pushing ASIC Performance in a Power Envelope," Proc. DAC, pp.788--793, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. K. Usami and M. Horowitz, "Clustered Voltage Scaling Technique for Low-Power Design," Proc. ISLPED, pp.3--8, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. Usami, M. Igarashi, F. Minami, M. Ishikawa, M. Ichida, and K. Nogami, "Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor," IEEE Trans. on Solid-State Circuits, pp.463--472, 1998.Google ScholarGoogle ScholarCross RefCross Ref
  13. H. Wu, I. M. Liu, Martin D. F. Wong, and Y. Wang, "Post-Placement Voltage Island Generation Under Performance Requirement," Proc. ICCAD, pp.309--316, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Y.-J. Yeh and S.-Y. Kuo, "An Optimization-Based Low-Power Voltage Scaling Technique Using Multiple Supply Voltage," Proc. ISCAS, pp.535--538, 2001.Google ScholarGoogle Scholar

Index Terms

  1. Voltage island aware floorplanning for power and timing optimization

              Recommendations

              Comments

              Login options

              Check if you have access through your login credentials or your institution to get full access on this article.

              Sign in
              • Published in

                cover image ACM Conferences
                ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
                November 2006
                147 pages
                ISBN:1595933891
                DOI:10.1145/1233501

                Copyright © 2006 ACM

                Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                Publisher

                Association for Computing Machinery

                New York, NY, United States

                Publication History

                • Published: 5 November 2006

                Permissions

                Request permissions about this article.

                Request Permissions

                Check for updates

                Qualifiers

                • Article

                Acceptance Rates

                Overall Acceptance Rate457of1,762submissions,26%

                Upcoming Conference

                ICCAD '24
                IEEE/ACM International Conference on Computer-Aided Design
                October 27 - 31, 2024
                New York , NY , USA

              PDF Format

              View or Download as a PDF file.

              PDF

              eReader

              View online with eReader.

              eReader