skip to main content
research-article

Energy-efficient dynamic task scheduling algorithms for DVS systems

Published:29 January 2008Publication History
Skip Abstract Section

Abstract

Dynamic voltage scaling (DVS) is a well-known low-power design technique that reduces the processor energy by slowing down the DVS processor and stretching the task execution time. However, in a DVS system consisting of a DVS processor and multiple devices, slowing down the processor increases the device energy consumption and thereby the system-level energy consumption. In this paper, we first use system-level energy consideration to derive the “optimal ” scaling factor by which a task should be scaled if there are no deadline constraints. Next, we develop dynamic task-scheduling algorithms that make use of dynamic processor utilization and optimal scaling factor to determine the speed setting of a task. We present algorithm duEDF, which reduces the CPU energy consumption and algorithm duSYS and its reduced preemption version, duSYS_PC, which reduce the system-level energy. Experimental results on the video-phone task set show that when the CPU power is dominant, algorithm duEDF results in up to 45% energy savings compared to the non-DVS case. When the CPU power and device power are comparable, algorithms duSYS and duSYS_PC achieve up to 25% energy saving compared to CPU energy-efficient algorithm duEDF, and up to 12% energy saving over the non-DVS scheduling algorithm. However, if the device power is large compared to the CPU power, then we show that a DVS scheme does not result in lowest energy. Finally, a comparison of the performance of algorithms duSYS and duSYS_PC show that preemption control has minimal effect on system-level energy reduction.

References

  1. Aydin, H., Melhem, R., Moss, D., and Alvarez, P. M. 2001. Dynamic and aggressive scheduling techniques for power-aware real-time systems. In Proceedings of the IEEE Real-Time Systems Symposium (RTSS). 95--105. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Choi, Y. and Chang, N. 2004. Memory-aware energy-optimal frequency assignment for dynamic supply voltage scaling. In Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED). 387--392. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Choi, Y., Chang, N., and Kim, T. 2005. Dc-dc converter-aware power management for battery-operated embedded systems. In Proceedings of the IEEE Design Automation Conference (DAC). 895--900. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Gruian, F. 2001. Hard real-time scheduling for low energy using stochastic data and dvs processors. In Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED). 46--51. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Intel Corp. 2004. Intel PXA270 Processor Electrical, Mechanical, and Thermal Specification. http://www.intel.com/design/pca/applicationsprocessors/datashts/280002.htm.Google ScholarGoogle Scholar
  6. Irani, S., Shukla, S., and Gupta, R. 2003. Algorithms for power savings. In Proceedings of the ACM-SIAM Symposium on Discrete Algorithms (SoDA). 37--46. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Jejurikar, R. and Gupta, R. 2004. Leakage aware dynamic voltage scaling for real-time embedded systems. In Proceedings of the IEEE Design Automation Conference (DAC). 275--280. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Jejurikar, R. and Gupta, R. 2005. Dynamic slack reclamation with procrastination scheduling in real-time embedded systems. In Proceedings of the IEEE Design Automation Conference (DAC). 111--116. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Jejurikar, R., Pereira, C., and Gupta, R. 2004. Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. In Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED). 78--81. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Kim, W., Kim, J., and Min, S. 2002a. A dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack time analysis. In Proceedings of the Design Automation and Test in Europe Conference (DATE). 788--794. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Kim, W., Shin, D., Yun, H., Kim, J., and Min, S. 2002b. Performance comparison of dynamic voltage scaling algorithms for hard real-time systems. In Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 219--228. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Kim, W., Kim, J., and Min, S. L. 2003. Dynamic voltage scaling algorithm for fixed-priority real-time systems using work-demand analysis. In Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED). 396--401. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Kim, W., Kim, J., and Min, S. 2004. Preemption-aware dynamic voltage scaling in hard real-time systems. In Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED). 393--398. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Krishna, C. M. and Lee, Y. H. 2000. Voltage-clock-scaling adaptive scheduling techniques for low power in hard real time systems. In Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 156--165. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Krishna, C. M. and Shin, K. G. 1997. Real-Time Systems. McGraw-Hill, New York. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Micro Technology Inc. http://www.micron.com.Google ScholarGoogle Scholar
  17. Pillai, P. and Shin, K. G. 2001. Real-time dynamic voltage scaling for low-power embedded operating systems. In Proceedings of the ACM Symposium on Operating Systems Principles (SOSP). 89--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Quan, G. and Hu, X. 2001. Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors. In Proceedings of the IEEE Design Automation Conference (DAC). 828--833. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Rao, R. and Vrudhula, S. 2005. Energy optimal speed control of devices with discrete speed sets. In Proceedings of the IEEE Design Automation Conference (DAC). 901--906. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Seo, J., Kim, T., and Chung, K. 2004. Profile-based optimal intra-task voltage scheduling for hard real-time applications. In Proceedings of the IEEE Design Automation Conference (DAC). 87--92. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Shin, Y. and Choi, K. 1999. Power conscious fixed priority scheduling for hard real-time systems. In Proceedings of the IEEE Design Automation Conference (DAC). 134--139. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Shin, D. and Kim, J. 2001. A profile-based energy-efficient intra-task voltage scheduling algorithm for hard real-time applications. In Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED). 271--274. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Shin, Y., Choi, K., and Sakurai, T. 2000. Power optimization of real-time embedded systems on variable speed processors. In Proceedings of the IEEE International Conference on Computer Aided Design (ICCAD). 365--368. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Shin, D., Kim, J., and Lee, S. 2001. Low-energy intra task voltage scheduling using static timing analysis. In Proceedings of the IEEE Design Automation Conference (DAC). 438--443. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Texas Instruments Inc. 2004. OMAP5912 Multimedia Processor Power Management Reference Guide (Rev. A). http://focus.ti.com /lit/ug/spru753a/spru753a.pdf.Google ScholarGoogle Scholar
  26. Yao, F., Demers, A., and Shenker, S. 1995. A scheduling model for reduced cpu energy. IEEE Annual Foundations of Computer Science. 374--382. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Zhai, B., Blaauw, D., Sylvester, D., and Flautner, K. 2004. Theoretical and practical limits of dynamic voltage scaling. In Proceedings of the IEEE Design Automation Conference (DAC). 868--873. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Zhuo, J. and Chakrabarti, C. 2005. System-level energy-efficient dynamic task scheduling. In Proceedings of the IEEE Design Automation Conference (DAC). 628--631. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Energy-efficient dynamic task scheduling algorithms for DVS systems

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in

Full Access

  • Published in

    cover image ACM Transactions on Embedded Computing Systems
    ACM Transactions on Embedded Computing Systems  Volume 7, Issue 2
    February 2008
    412 pages
    ISSN:1539-9087
    EISSN:1558-3465
    DOI:10.1145/1331331
    Issue’s Table of Contents

    Copyright © 2008 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 29 January 2008
    • Accepted: 1 September 2006
    • Revised: 1 February 2006
    • Received: 1 September 2005
    Published in tecs Volume 7, Issue 2

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader