skip to main content
10.1145/1366110.1366204acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
poster

A low-power phase change memory based hybrid cache architecture

Published:04 May 2008Publication History

ABSTRACT

Sub-threshold leakage in SRAM based cache memories is becoming a predominant source of power consumption in deep-sub micron CMOS designs. Phase Change Random Access Memory (PRAM), a high density, fast access, non-volatile memory is being considered as a candidate for future universal memory technologies. In this paper, we investigate the architectural challenges in integrating a PRAM based memory into the conventional cache hierarchy. First, we develop PRAM cache delay and energy models. We then propose a hybrid PRAM architecture for L1 instruction caches on embedded processors. We also propose a PRAM based unified cache architecture for L2 caches on high-end microprocessors. Finally, we evaluate the proposed architectures, in terms of area, performance, and energy. The experimental results show that the PRAM based cache architectures achieve close to 80% reduction in the leakage energy consumption of a L1-L2 cache hierarchy.

References

  1. International technology roadmap for semiconductors, 2006 report. http:http://www.itrs.net/reports.html.Google ScholarGoogle Scholar
  2. D. Burger and T. M. Austin. The simplescalar tool set, version 2.0. SIGARCH Comput. Archit. News, 25(3):13--25, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge. Drowsy caches: simple techniques for reducing leakage power. In ISCA '02: Proceedings of the 29th annual international symposium on Computer architecture, pages 148--157, Washington, DC, USA, 2002. IEEE Computer Society. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D.--H. Kang, D.--H. Ahn, K.--B. Kim, J.F.Webb, and K.--W. Yi. One--dimensional heat conduction model for an electrical phase change random access memory device with an 8f2 memory cell(f=0:15¹m). Journal of Applied Physics, 94(5):3536--3542, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  5. C. Lee, M. Potkonjak, and W. H. Mangione--Smith. Mediabench: A tool for evaluating and synthesizing multimedia and communicatons systems. In International Symposium on Microarchitecture, pages 330--335, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. M. C. Merten, A. R. Trick, and R. D. Barnes. An architectural framework for runtime optimization. IEEE Trans. Comput., 50(6):567--589, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Powell, S.--H. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar. Gated Vdd: A circuit technique to reduce leakage in deep--submicron cache memories. pages 90--95. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. L. S and L. T. Oum -- a 180 nm nonvolatile memory cell element technology for stand alone and embedded applications. Electron Devices Meeting, 2001. IEDM Technical Digest. International, pages 36.5.1--36.5.4, 2001.Google ScholarGoogle Scholar
  9. S.Hudgens and B.Johnson. Overview of phase--change chalcogenide nonvolatile memory technology. Materials Research Society Bulletin, 2004., pages 829--832, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  10. N. Takaura, M. Terao, K. Kurotsuchi, T. Yamauchi, O. Tonomura, Y. Hanaoka, R. Takemura, K. Osada, T. Kawahara, and H. Matsuoka. A gesbte phase--change memory cell featuring a tungsten heater electrode for low--power, highly stable, and short--read--cycle operations. Electron Devices Meeting, 2003. IEDM '03 Technical Digest. IEEE International, pages 37.2.1--37.2.4, 8--10 Dec. 2003.Google ScholarGoogle ScholarCross RefCross Ref
  11. N. Wilton, S.J.E.; Jouppi. Cacti: an enhanced cache access and cycle time model. Solid--State Circuits, IEEE Journal of, 31(5):677--688, May 1996.Google ScholarGoogle Scholar

Index Terms

  1. A low-power phase change memory based hybrid cache architecture

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '08: Proceedings of the 18th ACM Great Lakes symposium on VLSI
      May 2008
      480 pages
      ISBN:9781595939999
      DOI:10.1145/1366110

      Copyright © 2008 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 4 May 2008

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • poster

      Acceptance Rates

      Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader