skip to main content
10.1145/1391469.1391680acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Scan chain clustering for test power reduction

Authors Info & Claims
Published:08 June 2008Publication History

ABSTRACT

An effective technique to save power during scan based test is to switch off unused scan chains. The results obtained with this method strongly depend on the mapping of scan flip-flops into scan chains, which determines how many chains can be deactivated per pattern.

In this paper, a new method to cluster flip-flops into scan chains is presented, which minimizes the power consumption during test. It is not dependent on a test set and can improve the performance of any test power reduction technique consequently. The approach does not specify any ordering inside the chains and fits seamlessly to any standard tool for scan chain integration.

The application of known test power reduction techniques to the optimized scan chain configurations shows significant improvements for large industrial circuits.

References

  1. Y. Zorian, "A distributed BIST control scheme for complex VLSI devices," in Proceedings of the 11th IEEE VLSI Test Symposium (VTS '93), 1993, pp. 4--9.Google ScholarGoogle Scholar
  2. C. F. Hawkins and J. Segura, "Test and reliability: Partners in IC manufacturing," IEEE Design & Test of Computers, vol. 16, no. 3 and 4, pp. 64--71, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. P. Girard, "Survey of low-power testing of VLSI circuits," Design & Test of Computers, IEEE, vol. 19, no. 3, pp. 80--90, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Y. Huang, S. M. Reddy, W.-T. Cheng, P. Reuter, N. Mukherjee, C.-C. Tsai, O. Samman, and Y. Zaidan, "Optimal core wrapper width selection and SOC test scheduling based on 3-D bin packing algorithm," in Proceedings IEEE International Test Conference, Baltimore, MD, USA, October 7--10, 2002, pp. 74--82. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. N. Nicolici and B. M. Al-Hashimi, "Power-conscious test synthesis and scheduling," IEEE Design & Test of Computers, vol. 20, no. 4, pp. 48--55, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. Gerstendoerfer and H.-J. Wunderlich, "Minimized power consumption for scan-based BIST," in IEEE International Test Conference (ITC '99), NJ, USA, 27--30 Sept., 1999, pp. 77--84. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Y. Huang, W. Cheng, and J. Rajski, "Compressed pattern diagnosis for scan chain failures," in IEEE International Test Conference (ITC '05), 8--10 Nov., Austin TX, 2005, p. 30.3.Google ScholarGoogle Scholar
  8. R. Sankaralingam, N. A. Touba, and B. Pouya, "Reducing power dissipation during test using scan chain disable," in 19th IEEE VLSI Test Symposium (VTS '01), 29 April - 3 May, Marina Del Rey, CA, USA, 2001, pp. 319--325. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. C. Zoellin, H.-J. Wunderlich, N. Maeding, and J. Leenstra, "BIST power reduction using scan-chain disable in the Cell processor," in IEEE International Test Conference (ITC '06), Santa Clara, CA, USA, Oct. 24-26, 2006.Google ScholarGoogle Scholar
  10. M. E. Imhof, C. G. Zoellin, H.-J. Wunderlich, N. Maeding, and J. Leenstra, "Scan test planning for power reduction," in Proceedings of the 44th Design Automation Conference (DAC '07), San Diego, CA, USA, June 4--8, 2007, pp. 521--526. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. K. M. Butler, J. Saxena, T. Fryars, and G. Hetherington, "Minimizing power consumption in scan testing: Pattern generation and DFT techniques," in IEEE International Test Conference (ITC '04), Oct. 26--28, Charlotte, NC, USA, 2004, pp. 355--364. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Kajihara, K. Ishida, and K. Miyase, "Test vector modification for power reduction during scan testing," in 20th IEEE VLSI Test Symposium (VTS '02), 28 April - 2 May, Monterey, CA, USA, 2002, pp. 160--165. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. P. M. Rosinger, B. M. Al-Hashimi, and N. Nicolici, "Low power mixed-mode BIST based on mask pattern generation using dual LFSR re-seeding," in 20th International Conference on Computer Design (ICCD '02), VLSI in Computers and Processors, 16--18 Sept., Freiburg, Germany, 2002, pp. 474--479. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Lee and N. A. Touba, "Low power test data compression based on LFSR reseeding," in 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD '04), 11--13 Oct., San Jose, CA, USA, 2004, pp. 180--185. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. G. Mrugalski, J. Rajski, D. Czysz, and J. Tyszer, "New test data decompressor for low power applications," in Proceedings of the 44th Design Automation Conference (DAC '07), San Diego, CA, USA, June 4--8, 2007, pp. 539--544. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. V. Dabholkar, S. Chakravarty, I. Pomeranz, and S. Reddy, "Techniques for minimizing power dissipation in scan and combinational circuits during test application," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, no. 12, pp. 1325--1333, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, and A. Virazel, "Design of routing-constrained low power scan chains," in Design, Automation and Test in Europe (DATE '04), 16--20 Feb., Paris, France, 2004, pp. 62--67. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. Beausang, C. Ellingham, and M. Robinson, "Integrating scan into hierarchical synthesis methodologies," in Proceedings IEEE International Test Conference (ITC '96), Test and Design Validity, Washington, DC, USA, October 20--25, 1996, pp. 751--756. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M. Hirech, J. Beausang, and X. Gu, "A new approach to scan chain reordering using physical design information," in Proceedings IEEE International Test Conference (ITC '98), Washington, DC, USA, October 18--22, 1998, pp. 348--355. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. Makar, "A layout-based approach for ordering scan chain flip-flops," in Proceedings IEEE International Test Conference (ITC '98), Washington, DC, USA, October 18--22, 1998, pp. 341--347. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. O. E. Cornelia and V. K. Agarwal, Conditional Stuck-at Fault Model for PLA Test Generation. VLSI Design Laboratory, McGill University, 1989.Google ScholarGoogle Scholar
  22. I. Hamzaoglu and J. H. Patel, "New techniques for deterministic test pattern generation," in 16th IEEE VLSI Test Symposium (VTS '98), 28 April - 1 May, Princeton, NJ, USA, 1998, pp. 446--452. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. P. K. Chan, M. Schlag, and J. Zien, "Spectral k-way ratio-cut partitioning and clustering." in 30th Conference on Design Automation, 14--18 June, 1993, pp. 749--754. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. C. Fiduccia and R. Mattheyses, "A linear-time heuristic for improving network partitions." in 19th Conference on Design Automation, 14--16 June, 1982, pp. 175--181. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. B. W. Kernighan and S. Lin, "An efficient heuristic procedure for partitioning graphs." Bell System Technical Journal, February, vol. 49, no. 2, pp. 291--307, 1970.Google ScholarGoogle Scholar
  26. G. Karypis and V. Kumar, "Multilevel k-way hypergraph partitioning." in Proceedings 36th Design Automation Conference, 21--25 June, New Orleans, LA, USA, 1999, pp. 343--348. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M. Riley, L. Bushard, N. Chelstrom, N. Kiryu, and S. Ferguson, "Testability features of the first-generation Cell processor," in Proceedings of the IEEE International Test Conference (ITC '05), 8--10 Nov., Austin TX, 2005, p. 6.1.Google ScholarGoogle Scholar
  28. Y. Tang, H.-J. Wunderlich, H. Vranken, F. Hapke, M. Wittke, P. Engelke, I. Polian, and B. Becker, "X-Masking during logic BIST and its impact on defect coverage," in IEEE International Test Conference (ITC '04), Oct. 25--28, Charlotte, NC, USA, 2004, pp. 442--451. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Scan chain clustering for test power reduction

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '08: Proceedings of the 45th annual Design Automation Conference
        June 2008
        993 pages
        ISBN:9781605581156
        DOI:10.1145/1391469
        • General Chair:
        • Limor Fix

        Copyright © 2008 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 8 June 2008

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader