skip to main content
research-article

Investigating the effects of fine-grain three-dimensional integration on microarchitecture design

Published: 07 November 2008 Publication History

Abstract

In this article we propose techniques that enable efficient exploration of the 3D design space, where each logical block can span more than one silicon layer. Fine-grain 3D integration provides reduced intrablock wire delay as well as improved power consumption. However, the corresponding power and performance advantage is usually underutilized, since various implementations of multilayer blocks require novel physical design and microarchitecture infrastructure to explore 3D microarchitecture design space. We develop a cubic packing engine which can simultaneously optimize physical and architectural design for efficient vertical integration. This technique selects the individual unit designs from a set of single-layer or multilayer implementations to get the best microarchitectural design in terms of performance, temperature, or both. Our experimental results using a design driver of a high-performance superscalar processor show a 36% performance improvement over traditional 2D for 2--4 layers and 14% over 3D with single-layer unit implementations. Since thermal characteristics of 3D integrated circuits are among the main challenges, thermal-aware floorplanning and thermal via insertion techniques are employed to keep the peak temperatures below threshold.

References

[1]
Banerjee, K., Souri, S., Kapur, P., and Saraswat, K. 2001. 3D ICs: A novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proc. IEEE 89, 5, 602--633.
[2]
Black, B., Annavaram, M., Brekelbaum, N., Devale, J., Jiang, L., Loh, G. H., McCauley, D., Morrow, P., Nelson, D. W., Pantuso, D., Reed, P., Rupley, J., Shankar, S., Shen, J., and Webb, C. 2006. Die stacking (3D) microarchitecture. Proceedings of the International Symposium on Microarchitecture, 469--479.
[3]
Black, B., Nelson, D. W., Webb, C., and Samra, N. 2004. 3D processing technology and its impact on IA32 microprocessors. In Proceedings of the International Conference on Computer Design, 316--318.
[4]
Borch, E., Tune, E., Manne, S., and Emer, J. 2002. Loose loops sink chips. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 299--310.
[5]
Burger, D. C. and Austin, T. M. 1997. The SimpleScalar tool set. Tech. Rep. CS-TR-97-1342, University of Wisconsin, Madison, Wisconsin. June.
[6]
Burns, J. A., Chen, C. K., Knect, J. M., and Wyatt, P. W. 2006. A wafer-scale 3-D circuit integration technology. IEEE Trans. Electron. Dev. 53, 10, 2507--2516.
[7]
Burns, J., McLlrath, L., Keast, C., Lewis, C., Loomis, A., Warner, K., and Wyatt, P. 2001. Three dimensional integration for low power, high-bandwidth systems on a chip. In Proceedings of the IEEE International Solid State Circuits Conference, San Francisco, CA, 268--269
[8]
Cao, Y., Sato, T., Sylvester, D., Orshansky, M., and Hu, C. 2000. New paradigm of predictive MOSFET and interconnect modeling for early circuit design. In Proceedings of the Custom Integrated Circuit Conference, 201--204.
[9]
Cong, J. and Pan, D. Z. 1999. Interconnect estimation and planning for deep submicron designs. In Proceedings of the 36th ACM/IEEE Conference on Design Automation, 507--510.
[10]
Cong, J. and Zang, Y. 2005. A thermal-driven multilevel routing for 3-D ICS. In Proceedings of the Asia Pacific Design Automation Conference, 121--126.
[11]
Cong, J., Jagannathan, A., Ma, Y., Reinman, G., Wei, J., and Zhang, Y. 2006. An automated design flow for 3D microarchitecture evaluation. In Proceedings of the Asia and South Pacific Design Automation Conference, 384--389.
[12]
Cong, J., Wei, J., and Zhang, Y. 2004. A thermal-driven floorplanning algorithm for 3D ICs. In Proceedings of the ACM/IEEE International Conference on Computer Aided Design, 306--313.
[13]
Das, S., Fan, A., Chen, K.-N., Tan, C. S., Checka, N., and Reif, R. 2004. Technology, performance, and computer-aided design of three-dimensional integrated circuits. In Proceedings of the International Symposium on Physical Design, 108--115.
[14]
Das, S., Chandrakasan, A., and Reif, R. 2003. Design tools for 3D integrated circuits. In Proceedings of the Asia Pacific Design Automation Conference, 53--56.
[15]
Farkas, K., Jouppi, N., and Chow, P. 1996. Register file design considerations in dynamically scheduled processors. In Proceedings of the 2nd International Symposium on High Performance Computer Architecture, 40--51.
[16]
Folegnani, D. and Gonzalez, A. 2001. Energy-Effective issue logic. In Proceedings of the 28th Annual International Symposium on Computer Architecture, 230--239.
[17]
Hong, X. L., Huang, G., Cai, Y. C., Dong, S. Q., Cheng, C. K., and Gu., J. 2000. Corner block list: An effective and efficient topological representation of non-slicing floorplan. In Proceedings of International Conference on Computer Aided Design, 8--12.
[18]
Hung, W., Link, G., Xie, Y., Vijaykrishnan, N., and Irwin, M. 2006. Interconnect and thermal-aware floorplanning for 3D microprocessors. In Proceedings of the International Symposium on Quality of Electronic Design, 98--104.
[19]
Jagannathan, A., Yang, H. H., Konigsfeld, K., Milliron, D., Mohan, M., Romesis, M., Reinman, G., and Cong, J. 2005. Microarchitecture evaluation with floorplanning and interconnect pipelining. In Proceedings of the Asia Pacific Design Automation Conference, 8--15.
[20]
Kleiner, M. B., Kuhn, S. A., Ramm, P., and Weber, W. 1996. Performance and improvement of the memory hierarchy of Risc-systems by application of 3-D technology. IEEE Trans. Comp. Packag. Manufact. Technol. 19, 4, 709--718.
[21]
Kohira, Y., Kodama, C., Fujiyoshi, K., and Takahashi, A. 2006. Evaluation of 3D-packing represnetations for scheduling of dynamically reconfigurable systems. In Proceddings of the IEEE International Symposium on Circuits and Systems, 4--8.
[22]
Li, F., Nicopoulos, C., Richardson, T., Xie, Y., Narayanan, V., and Kandemir, M. 2006. Design and management of 3D chip multiprocessors using network-in-memory. In Proceedings of the 33rd International Symposium on Computer Architecture, Boston, MA, 130--141.
[23]
Li, Z., Hong, X., Zhou, Q., Zeng, S., Bian, J., Yang, H., Pitchumani, V., and Cheng, C.-K. 2006. Integrating dynamic thermal via planning with 3D floorplanning algorithm. In Proceedings of the ACM International Symposium on Physical Design, 178--185.
[24]
Liu, Y., Ma, Y., Kursun, E., Cong, J., and Reinman, G. 2007. Fine grain 3D integration for microarchitecture design through cube packing exploration. In Proceedings of the International Conference on Computer Design, 259--266.
[25]
Loh, G. 2008a. 3D stacked memory architectures for multi-core processors. In Proceedings of the International Symposium on Computer Architecture, 453--464.
[26]
Loh, G. 2008b. A modular 3D processor for flexible product design and technology migration. In Proceedings of the ACM International Conference on Computing Frontiers, 157--170.
[27]
McFarland, G. and Flynn, M. 1995. Limits of Scaling MOSFETS. Rep. CSL TR-95-62, Stanford University. November.
[28]
Ma, Y., Hong, X., Cheng, C. K., and Dong, S. 2005. 3D CBL: An efficient algorithm for general 3-dimensional packing problems. In Proceedings of the IEEE the International Midwest Symposium on Circuits and Systems, 1079--1082.
[29]
MIT Lincoln Laboratory. 2006. Mitll low-power FDSOI CMOS Process: Design guide. March.
[30]
Palacharla, S., Jouppi, N. P., and Smith, J. E. 1997. Complexity-Effective superscalar processors. In Proceedings of the 24th Annual International Symposium on Computer Architecture, 206--218.
[31]
Puttaswamy, K. and Loh, G. H. 2006a. Thermal analysis of a 3D die-stacked high-performance microprocessor. In Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI, 19--24.
[32]
Puttaswamy, K. and Loh, G. H. 2006b. The impact of 3-dimensional integration on the design of arithmetic units. In Proceedings of the International Symposium on Circuits and Systems, 4951--4954.
[33]
Puttaswamy K. and Loh, G. H. 2006c. Dynamic instruction schedulers in a 3-dimensional integration technology. In Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI, 153--158.
[34]
Puttaswamy, K. and Loh, G. H. 2007. Scalability of 3D-integrated arithmetic units in high-performance microprocessors. In Proceedings of the Design Automation Conference, San Diego, CA, 622--625.
[35]
Reinman, G. and Jouppi, N. 2000. Cacti 2.0: An integrated cache timing and power model. Tech. Rep. 2000/7. Palo Alto, Compaq, California. http://www.hpl.hp.com/techreports/Compaq-DEC/WRL-2000-7.pdf.
[36]
Ronnen, R., Mendelson, A., Lai, K., Liu, S.-L., Pollack, F., and Shen, J. P. 2001. Coming challenges in microarchitecture and architecture. Proc. IEEE 89, 3, 325--340.
[37]
Shivakumar, P. and Jouppi, N. 2001. Cacti 3.0: An integrated cache timing, power, and area model. Tech. Rep. Compaq, Palo Alto, California. www.hpl.hp.com/personal/Norman-Jouppi/eacti3.pdf.
[38]
Sprangle, E. and Carmean, D. 2002. Increasing processor performance by implementing deeper pipelines. In Proceedings of the 29th Annual International Symposium on Computer Architecture, 25--34.
[39]
Topol, A. W., La Tulipe, D. C., Shi, L., Frank, D. J., Bernstein, K., Steen, S. E., Kumar, A., Singco, G. U., Young, A. M., Guarini, K. W., and Ieong, M. 2006. Three-Dimensional integrated circuits. IBM J. Res. Develop. 50, 4-5, 491--506.
[40]
Topol, A. W., La Tulipe, D. C., Shi, L., Alam, S. M., Young, A. M., Frank, D. J., Steen, S. E., Vichiconti, J., Posillico, D., Canaperi, D. M., Medd, S., Conti, R. A., Goma, S., Dimilia, D., Wang, C., Deligianni, L., Cobb, M. A., Jenkins, K., Kumar, A., Kwietniak, K. T., Robson, M., Gibson, G. W., D'Emic, C., Nowak, E., Joshi, R., Guarini, K. W., and Ieong, M. 2005. Enabling SOI-based assembly technology for three dimensional integrated circuits. In Proceedings of the IEEE Interconnection Electron Devices Meeting, 352--355.
[41]
Tremblay, M., Joy, B., and Shin, K. 1995. A three dimensional register file for superscalar processors. In Proceedings of the 28th Hawaii International Conference on System Sciences, 191--201.
[42]
Tsai, Y., Xie, Y., Vijaykrishnan, N., and Irwin, M. 2005. Three-Dimensional cache design exploration using 3D CACTI. In Proceedings of the International Conference on Computer Design, 519--524.
[43]
Tsui, Y. K., Lee, S. W. R., Wu, J. S., Kim, J. K., and Yuen, M. M. F. 2003. Three-Dimensional packaging for multi-chip module with through-the-silicon via hole. In Proceedings of the Electronics Packaging Technology, 1--7.
[44]
Wilkerson, P., Raman, A., and Turowski, M. 2004. Fast, automated thermal simulation for three-dimensional integrated circuits. In Proceedings of the Conference on Thermal and Thermomechanical Phenomena in Electronic Circuits, 706--713.
[45]
Xie, Y., Loh, G. H., and Black, B. 2007. Processor design in 3D die-stacking technologies. In Proceedings of the IEEE Micro Conference, 31--48.
[46]
Xie, Y., Loh, G. H., Black, B., and Bernstein, K. 2006. Design space exploration for 3D architectures. ACM J. Emerg. Technol. Comput. Syst. 2, 2, 65--103.
[47]
Xue, L., Liu, C., and Tiwari, S. 2001. Multi-Layers with buried structures (MLBS): An approach to three-dimensional integration. In Proceedings of the IEEE International Conference on Silicon on Insulator, 117--118.
[48]
Yamazaki, H., Sakanushi, K., Nakatake, S., and Kajitani, Y. 2000. The 3D-packing by meta data structure and packing heuristics. IEICE Trans. Fundam. E83-A, 4, 639--645.
[49]
Yuh, P. H., Yang, C.-L., Chang, Y.-W. and Chen, H.-L. 2008. Temporal floorplanning using 3D-subTCG. In Proceedings of the Asia Pasific Design Automation Conference, 723--728.

Cited By

View all
  • (2016)Physical Design Automation for 3D Chip StacksProceedings of the 2016 on International Symposium on Physical Design10.1145/2872334.2872335(3-10)Online publication date: 3-Apr-2016
  • (2012)Spatial and temporal thermal characterization of stacked multicore architecturesACM Journal on Emerging Technologies in Computing Systems10.1145/2287696.22877048:3(1-17)Online publication date: 15-Aug-2012
  • (2012)A 10.35 mW/GFlop stacked SAR DSP unit using fine-grain partitioned 3D integrationProceedings of the IEEE 2012 Custom Integrated Circuits Conference10.1109/CICC.2012.6330589(1-4)Online publication date: Sep-2012
  • Show More Cited By

Index Terms

  1. Investigating the effects of fine-grain three-dimensional integration on microarchitecture design

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 4, Issue 4
      October 2008
      123 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/1412587
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 07 November 2008
      Accepted: 01 May 2008
      Revised: 01 May 2008
      Received: 01 December 2007
      Published in JETC Volume 4, Issue 4

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. 3D integration
      2. 3D packing
      3. microarchitecture
      4. thermal

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)3
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 03 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2016)Physical Design Automation for 3D Chip StacksProceedings of the 2016 on International Symposium on Physical Design10.1145/2872334.2872335(3-10)Online publication date: 3-Apr-2016
      • (2012)Spatial and temporal thermal characterization of stacked multicore architecturesACM Journal on Emerging Technologies in Computing Systems10.1145/2287696.22877048:3(1-17)Online publication date: 15-Aug-2012
      • (2012)A 10.35 mW/GFlop stacked SAR DSP unit using fine-grain partitioned 3D integrationProceedings of the IEEE 2012 Custom Integrated Circuits Conference10.1109/CICC.2012.6330589(1-4)Online publication date: Sep-2012
      • (2011)Three-dimensional Integrated CircuitsFoundations and Trends in Electronic Design Automation10.1561/10000000165:1–2(1-151)Online publication date: 1-Jan-2011
      • (2011)Layout effects in fine grain 3D integrated regular microprocessor blocksProceedings of the 48th Design Automation Conference10.1145/2024724.2024871(639-644)Online publication date: 5-Jun-2011
      • (2011)Fast Placement-Aware 3-D Floorplanning Using Vertical Constraints on Sequence PairsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2010.205524719:9(1667-1680)Online publication date: 1-Sep-2011
      • (2010)Analysis of spatial and temporal behavior of threedimensional multi-core architectures towards run-time thermal management2010 12th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems10.1109/ITHERM.2010.5501257(1-8)Online publication date: Jun-2010

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media