skip to main content
10.1145/1514932.1514960acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Redundant via insertion with wire bending

Published:29 March 2009Publication History

ABSTRACT

Redundant via insertion is highly recommended for improving chip yield and reliability. In this paper, we study the problem of double via insertion with wire bending (DVI/WB) in a post-routing stage, where a single via can have at most one redundant via inserted next to it. Aside from this, we are allowed to bend existing signal wires for enhancing the insertion rate of double vias. The goal of DVI/WB is to primarily insert as many double vias as possible and to minimize the amount of layout perturbation as the secondary objective. We formulate the DVI/WB problem as that of finding a minimum-weight maximum independent set (mWMIS) on an enhanced conflict graph. We propose algorithms to perform wire bending and to construct the enhanced conflict graph from a given design. Moreover, we also propose a zero-one integer linear program (0-1 ILP) based approach to solve mWMIS. Experimental results show that our approach can improve the insertion rate by up to 5.58% at the expense of up to 0.37% wirelengh increase when compared with a state-of-the-art double via insertion method that does not consider wire bending.

References

  1. K. Rajkanan, "Yield analysis methodology for low defectivity wafer fabs," in Proceedings of the International Workshop on Memory Technology, Design and Testing, 2000, p. 65. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. N. Harrison, "A simple via duplication tool for yield enhancement," in Proceedings of the International Symposium on Defect and Fault-Tolerance in VLSI Systems, 2001, pp. 39--47. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Reference Flow 5.0 and Reference Flow 6.0. Taiwan Semiconductor Manufacturing Company (TSMC).Google ScholarGoogle Scholar
  4. J. Wilson and W. Ng, "Improving design robustness with via doubling." Semiconductor International, July 1, 2006.Google ScholarGoogle Scholar
  5. G. Xu, L.-D. Huang, D. Z. Pan, and M. D. F. Wong, "Redundant-via enhanced maze routing for yield improvement," in Proceedings of Asia and South Pacific Design Automation Conference, 2005, pp. 1148--1151. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H. Yao, Y. Cai, X. Hong, and Q. Zhou, "Improved multilevel routing with redundant via placement for yield and reliability," in Proceedings of the Great Lakes Symposium on VLSI, 2005, pp. 143--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. K.-Y. Lee and T.-C. Wang, "Post-routing redundant via insertion for yield/reliability improvement," in Proceedings of Asia and South Pacific Design Automation Conference, 2006, pp. 303--308. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. F. Luo, Y. Jia, and W. W.-M. Dai, "Yield-preferred via insertion based on novel geotopological technology," in Proceedings of Asia and South Pacific Design Automation Conference, 2006, pp. 730--735. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H.-Y. Chen, M.-F. Chiang, Y.-W. Chang, L. Chen, and B. Han, "Full-chip routing considering double-via insertion," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 5, pp. 844--857, May 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. K.-Y. Lee, T.-C. Wang, and K.-Y. Chao, "Post-routing redundant via insertion and line end extension with via density consideration," in Proceedings of the International Conference on Computer-Aided Design, 2006, pp. 633--640. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. K.-Y. Lee, C.-K. Koh, T.-C. Wang, and K.-Y. Chao, "Fast and optimal redundant via insertion," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 12, pp. 2197--2208, December 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. McCullen, "Redundant via insertion in restricted topology layouts," in Proceedings of International Symposium on Quality Electronic Design, 2007, pp. 821--828. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Guttman, "R-trees: a dynamic index structure for spatial searching," in Proceedings of International Conference on Management of Data, 1984, pp. 47--57. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. T. H. Cormen, C. E. Leiserson, and R. L. Rivest, Introduction to Algorithms, 2nd ed. The Massachusetts Institute of Technology Press, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. lp_solve. {Online}. Available: http://lpsolve.sourceforge.netGoogle ScholarGoogle Scholar
  16. N. Beckmann, H.-P. Kriegel, R. Schneider, and B. Seeger, "The R*-tree: an efficient and robust access method for points and rectangles," in Proceedings of the International Conference on Management of Data, 1990, pp. 322--331. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Redundant via insertion with wire bending

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ISPD '09: Proceedings of the 2009 international symposium on Physical design
          March 2009
          208 pages
          ISBN:9781605584492
          DOI:10.1145/1514932

          Copyright © 2009 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 29 March 2009

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate62of172submissions,36%

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader