skip to main content
research-article

WireMap: FPGA Technology Mapping for Improved Routability and Enhanced LUT Merging

Published:01 June 2009Publication History
Skip Abstract Section

Abstract

This article presents a new technology mapper, WireMap. The mapper uses an edge flow heuristic to improve the routability of a mapped design. The heuristic is applied during the iterative mapping optimization to reduce the total number of pin-to-pin connections (or edges). On academic benchmark (ISCAS, MCNC, and ITC designs), the average edge reduction of 9.3% is achieved while maintaining depth and LUT count compared to state-of-the-art technology mapping. Placing and routing the resulting netlists leads to an 8.5% reduction in the total wirelength, a 6.0% reduction in minimum channel width, and a 2.3% reduction in critical path delay. This technique is applied in the Xilinx ISE Design tool to evaluate its effect on industrial Virtex5 circuits. In a set of 20 large designs, we find the edge reduction is 6.8% while total wirelength measured in the placer is reduced by 3.6%. Applying WireMap has an additional advantage of reducing an average number of inputs of LUTs without increasing the total LUT count and depth. The percentages of 5- and 6-LUTs in a typical design are reduced, while the percentages of 2-, 3-, and 4-LUTs are increased. These smaller LUTs can be merged into pairs and implemented using the dual-output LUT structure found in commercial FPGAs. For academic benchmarks, WireMap leads to 9.4% fewer dual-output LUTs after merging. For the industrial designs, WireMap leads to 6.3% fewer dual-output Virtex5 LUTs.

References

  1. Ahmed, T., Kundarewich, P. D., Anderson, J. H., Taylor, B., and Aggarwal, R. 2008. Architecture-Specific packing for Virtex-5 FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA’08). 5--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Altera. 2008. Stratix III device handbook. http://www.altera.com/literature/hb/stx3/stratix3_handbook.pdf.Google ScholarGoogle Scholar
  3. Altera. 2004. Improving FPGA performance and area using an adaptive logic module. http://www.altera.com/literature/cp/cp-01004.pdf.Google ScholarGoogle Scholar
  4. Berkeley Logic Synthesis and Verification Group. 2007. ABC: A system for sequential synthesis and verification, release 61225. http://www.eecs.berkeley.edu/~alanmi/abc/.Google ScholarGoogle Scholar
  5. Betz, V., Rose, J., and Marquardt, A. 1999. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Chatterjee, S., Mishchenko, A., Brayton, R., Wang, X., and Kam, T. 2005. Reducing structural bias in technology mapping. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD’05). 519--526. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Chen, D. and Cong, J. 2004. DAOmap: A depth-optimal area optimization mapping algorithm for FPGA designs. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD’04). 752--757. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Cong, J. and Ding, Y. 1994. FlowMap: An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. IEEE Trans. Comput.-Aided Des. 13, 1, 1--12.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Cong, J., Wu, C., and Ding, Y. 1999. Cut ranking and pruning: Enabling a general and efficient FPGA mapping solution. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA’99). 29--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Gupta, S., Anderson, A., Farragher, L., and Wang, Q. 2007. CAD techniques for power optimization in Virtex-5 FPGAs. In Proceedings of the Custom Integrated Circuits Conference. 85--88.Google ScholarGoogle Scholar
  11. Kahng, A. B. and Reda, S. 2006. A tale of two nets: Studies of wirelength progression in physical design. In Proceedings of the International Workshop on System-Level Interconnect Prediction. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Lehman, E., Watanabe, Y., Grodstein, J., and Harkness, H. 1997. Logic decomposition during technology mapping. IEEE Trans. Comput.-Aided Des. 16, 8, 813--833. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Manohararajah, V., Brown, S. D., and Vranesic, Z. G. 2004. Heuristics for area minimization in LUT-based FPGA technology mapping. In Proceedings of the International Workshop on Logic and Synthesis (IWLS’04). 14--21.Google ScholarGoogle Scholar
  14. Mishchenko, A., Chatterjee, S., and Brayton, R. 2006a. Improvements to technology mapping for LUT-based FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA’06). 41--49. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Mishchenko, A., Chatterjee, S., and Brayton, R. 2006b. DAG-Aware AIG rewriting: A fresh look at combinational logic synthesis. In Proceedings of the IEEE/ACM Design Automation Conference (DAC’06). 532--536. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Mishchenko, A., Brayton, R., Jiang, J.-H. R., and Jang, S. 2007a. SAT-Based logic optimization and resynthesis. In Proceedings of the International Workshop on Logic and Synthesis (IWLS’07). 358--364.Google ScholarGoogle Scholar
  17. Mishchenko, A., Cho, S., Chatterjee, S., and Brayton, R. 2007b. Combinational and sequential mapping with priority cuts. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD’07). Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Murgai, R., Nishizaki, Y., Shenoy, N., Brayton, R. K., and Sangiovanni-Vincentelli, A. 1990. Logic synthesis for programmable gate arrays. In Proceedings of the IEEE/ACM Design Automation Conference (DAC’07). 620--625. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Pan, P. and Lin, C-C. 1998. A new retiming-based technology mapping algorithm for LUT-based FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA’98). 35--42. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Sentovich, E. M., Singh, K. J., Lavagno, L., Moon, C., Murgai, R., Saldanha, A., Savoj, H., Stephan, P. R., Brayton, R., and Sangiovanni-Vincentelli, A. 1992. SIS: A system for sequential circuit synthesis. Memo. UCB/ERL M92/41, Department of Electrical Engineering and Computer Science, University of California, Berkeley. May.Google ScholarGoogle Scholar
  21. Xilinx. 2006. Achieving higher system performance with the Virtex-5 family of FPGAs. http://www.xilinx.com/support/documentation/white_papers/wp245.pdf.Google ScholarGoogle Scholar

Index Terms

  1. WireMap: FPGA Technology Mapping for Improved Routability and Enhanced LUT Merging

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Reconfigurable Technology and Systems
        ACM Transactions on Reconfigurable Technology and Systems  Volume 2, Issue 2
        June 2009
        211 pages
        ISSN:1936-7406
        EISSN:1936-7414
        DOI:10.1145/1534916
        Issue’s Table of Contents

        Copyright © 2009 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 June 2009
        • Accepted: 1 November 2008
        • Revised: 1 October 2008
        • Received: 1 May 2008
        Published in trets Volume 2, Issue 2

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader