skip to main content
research-article

Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs

Published: 28 August 2009 Publication History

Abstract

As technology continues to shrink, reducing leakage power of Field-Programmable Gate Arrays (FPGAs) becomes a critical issue for the practical use of FPGAs. In this article, we address the leakage issue of partially dynamically reconfigurable FPGA architectures with sleep transistors embedded into FPGA fabrics. In particular, we focus on eliminating leakage waste due to the delay between reconfiguration and execution time of a task. For partially dynamically reconfigurable FPGAs, the configuration prefetching technique is commonly used to hide runtime reconfiguration overhead. With prefetching, the configuration of a task is loaded into FPGAs as early as possible. Therefore, there is often a delay between reconfiguration and execution time of a task. In this period of time, the SRAM cells allocated to a task cannot be turned off even though they are not utilized.
In this article, we propose a two-stage task scheduling methodology to reduce leakage waste due to the delay between reconfiguration and execution time of a task without sacrificing performance. In the first stage, a performance-driven task scheduler that targets at minimizing the schedule length is invoked to generate an initial placement. In the second stage, a postplacement leakage-aware task scheduling is applied to refine the initial placement such that leakage waste is minimized provided that the schedule length is not increased. To solve the postplacement leakage optimization problem, we propose two algorithms. The first one is an optimal algorithm based on Integer Linear Programming (ILP). The second algorithm is a heuristic approach that iteratively refines the placement to reduce leakage waste. Experimental results on real and synthetic designs show that the efficiency and effectiveness of the proposed postplacement leakage reduction techniques.

References

[1]
Altera. 2005. Stratix II Device Handbook. Altera, Inc.
[2]
Anderson, J. and Najm, F. 2006. Active leakage power optimization for FPGAs. IEEE Trans. Comput.-Aid. Des. 25, 3, 423--437.
[3]
Banerjee, S., Bozorgzadeh, E., and Dutt, N. 2005a. HW-SW partitioning for architectures with partial dynamic reconfiguration. Tech. rep. CECS-TR-05-02, University of California at Irvine.
[4]
Banerjee, S., Bozorgzadeh, E., and Dutt, N. 2005b. Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration. In Proceedings of the Design Automation Conference, 335--340.
[5]
Banerjee, S., Bozorgzadeh, E., and Dutt, N. 2006. Integrating physical constraints in hw-sw partitioning for architectures with partial dynamic reconfiguration. IEEE Trans. VLSI Syst. 14, 1189--1202.
[6]
Bharadwaj, R. P., Konar, R., Balsara, P. T., and Bhatia, D. 2005. Exploiting temporal idleness to reduce leakage power in programmable architectures. In Proceedings of the Asia and South Pacific Design Automation Conference, 651--656.
[7]
Calhoun, B. H., Honore, F. A., and Chandrakasan, A. 2003. Design methodology for fine-grained leakage control in MTCMOS. In Proceedings of the International Symposium on Low Power Electronics and Design, 104--109.
[8]
Cooly, J. M. and Tukey, J. W. 1965. An algorithm for the machine calculation of complex fourier series. Math. Comput. 19, 297--301.
[9]
Dick, R. P., Rhodes, D. L., and Wolf, W. 1998. TGFF: Task graph for free. In Proceedings of the 6th International Workshop on Hardware/Software Codesign (CODES), 597--601.
[10]
Engel, F., Heiser, G., Mumford, P., Parkinso, K., and Rizos, C. 2004. An open gnss receiver platform architecture. In Proceedings of the International Symposium on GNSS/GPS.
[11]
Fekete, S. P., Kóhler, E., and Teich, J. 2001. Optimal FPGA module placement with temporal precedence constraints. In Proceedings of the Conference on Design, Automation and Test in Europe, 658--665.
[12]
Gayasen, A., Tsai, Y., Vijaykrishnan, N., Kandemir, M., Irwin, M. J., and Tuan, T. 2004. Reducing leakage energy in FPGAs using region-constrained placement. In Proceedings of the International Symposium on Field Programmable Gate Arrays, 51--58.
[13]
Hauck, S. 1998. Configuration pre-fetch for single context reconfigurable processors. In Proceedings of the International Symposium on Field Programmable Gate Arrays, 65--74.
[14]
Kim, N., Flautner, K., Blaauw, D., and Mudge, T. 2004. Circuit and microarchitectural techniques for reducing cache leakage power. IEEE Trans. VLSI Syst. 12, 2, 167--184.
[15]
Lach, J., Brandon, J., and Skadron, K. 2004. A general post-processing approach to leakage current reduction in SRAM-based FPGAs. In Proceedings of the IEEE International Conference on Computer Design.
[16]
Li, F., Chen, D., He, L., and Cong, J. 2003. Architecture evaluation for power-efficient FPGAs. In Proceedings of the International Symposium on Field Programmable Gate Arrays, 175--184.
[17]
Li, F., Lin, Y., and He, L. 2004. FPGA power reduction using configurable dual-Vdd. In Proceedings of the 41th Conference on Design Automation (DAC), 735--740.
[18]
Lodi, A., Ciccarelli, L., and Giansante, R. 2005. Combining lowleakage techniques for FPGA routing design. In Proceedings of the Asia and South Pacific Design Automation Conference.
[19]
Lopez-Benitez, N. and Hyon, J.-Y. 1999. Simulation of task graph systems in heterogeneous computing environments. In Proceedings of the 8th Heterogeneous Computing Workshop (HCW), 112--124.
[20]
Makhorin, A. GLPK (GNU linear programming kit). http://www.gnu.org/software/glpk/.
[21]
Meng, Y., Sherwood, Y., and Kastner, R. 2006. Leakage power reduction of embedded memories on FPGAs through location assignment. In Proceedings of the 43th Conference on Design Automation (DAC), 612--617.
[22]
Mutoh, S., Douseki, T., Matsuya, Y., Aoki, T., Shigematsu, S., and Yamada, J. 2003. 1-V power supply high-speed digital circuit technology with multi-threahold voltage CMOS. IEEE J. Solid-State Circ. 38, 11, 1838--1845.
[23]
TORSCHE. 1999. TORSCHE scheduling toolbox for matlab user's guide v0.2.0b2. In Matlab User's Guide, 61.
[24]
Tschanz, J., Narendra, S., Bloechel, B., Borkar, S., and De, V. 2003. Dynamic sleep transistor and body bias for active leakage power control of micorprocessor. IEEE J. Solid-State Circ. 38, 11, 1838--1845.
[25]
Tuan, T. and Lai, B. 2003. Leakage power analysis of a 90nm FPGA. In Proceedings of the Custom Integrated Circuits Conference, 57--60.
[26]
Xilinx. 2005. Virtex-II Pro and Virtex II Pro X FPGA User Guide. Xilinx, Inc.
[27]
Yuh, P.-H., Yang, C.-L., and Chang, Y.-W. 2004a. Temporal floorplanning using the T-tree formulation. In Proceedings of the International Conference on Computer-Aided Design (ICCAD), 300--305.
[28]
Yuh, P.-H., Yang, C.-L., Chang, Y.-W., and Chang, H.-L. 2004b. Temporal floor-planning using 3D-subTCG. In Proceedings of the 9th Asia and South Pacific Design Automation Conference (ASPDAC), 725--730.

Cited By

View all
  • (2021)A Survey: FPGA‐Based Dynamic Scheduling of Hardware TasksChinese Journal of Electronics10.1049/cje.2021.07.02130:6(991-1007)Online publication date: Nov-2021
  • (2018)A Time-based Leakage-aware Algorithm for Task Placement and Scheduling Problem on Dynamic Reconfigurable FPGA2018 5th International Conference on Systems and Informatics (ICSAI)10.1109/ICSAI.2018.8599330(501-506)Online publication date: Nov-2018
  • (2016)Leakage aware resource management approach with machine learning optimization framework for partially reconfigurable architecturesMicroprocessors & Microsystems10.1016/j.micpro.2016.09.01247:PA(231-243)Online publication date: 1-Nov-2016
  • Show More Cited By

Index Terms

  1. Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 14, Issue 4
    August 2009
    226 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/1562514
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 28 August 2009
    Accepted: 01 May 2009
    Revised: 01 March 2009
    Received: 01 October 2008
    Published in TODAES Volume 14, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Reconfigurable computing
    2. leakage
    3. partially dynamical reconfiguration
    4. placement
    5. scheduling

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 08 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)A Survey: FPGA‐Based Dynamic Scheduling of Hardware TasksChinese Journal of Electronics10.1049/cje.2021.07.02130:6(991-1007)Online publication date: Nov-2021
    • (2018)A Time-based Leakage-aware Algorithm for Task Placement and Scheduling Problem on Dynamic Reconfigurable FPGA2018 5th International Conference on Systems and Informatics (ICSAI)10.1109/ICSAI.2018.8599330(501-506)Online publication date: Nov-2018
    • (2016)Leakage aware resource management approach with machine learning optimization framework for partially reconfigurable architecturesMicroprocessors & Microsystems10.1016/j.micpro.2016.09.01247:PA(231-243)Online publication date: 1-Nov-2016
    • (2014)A multi-stage leakage aware resource management technique for reconfigurable architecturesProceedings of the 24th edition of the great lakes symposium on VLSI10.1145/2591513.2591526(63-68)Online publication date: 20-May-2014
    • (2014)Leakage and performance aware resource management for 2D dynamically reconfigurable FPGA architectures2014 24th International Conference on Field Programmable Logic and Applications (FPL)10.1109/FPL.2014.6927420(1-4)Online publication date: Sep-2014
    • (2013)An Efficient Framework for Power-Aware Design of Heterogeneous MPSoCIEEE Transactions on Industrial Informatics10.1109/TII.2012.21986579:1(487-501)Online publication date: Feb-2013

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media