skip to main content
10.1145/1594233.1594288acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications

Published:19 August 2009Publication History

ABSTRACT

Ultra-low voltage is now a well known solution for energy constrained applications designed using nanometric process technologies. This work is focused on setting-up an automated methodology to enable the design of ultra-low voltage digital circuits exclusively using standard EDA tools. To achieve this goal, a 0.35V energy-delay optimized library was developed. This library, fully compliant with standard library design flow and characterization, was verified through the design and fabrication of a BCH decoder circuit, following a standard front-end to back-end flow. It performs at 457 kHz, with a total energy consumption of 2.9fJ per cycle.

References

  1. Wang, A.; Chandrakasan, A., "A 180-mV subthreshold FFT processor using a minimum energy design methodology," Solid-State Circuits, IEEE Journal of, vol.40, no.1, pp. 310--319, Jan. 2005.Google ScholarGoogle ScholarCross RefCross Ref
  2. Verma, N.; Kwong, J.; Chandrakasan, A.P., "Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits", Electron Devices, IEEE Transactions on, vol.55, no.1, pp.163--174, Jan. 2008.Google ScholarGoogle ScholarCross RefCross Ref
  3. Soeleman, H.; Roy, K.; Paul, B.C., "Robust subthreshold logic for ultra-low power operation", Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.9, no.1, pp.90--99, Feb 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Graniello, B.; Chavan, A.; Rodriguez, B.; MacDonald, E., "Optimized Circuit Styles for Subthreshold Logic", International Electro Conf., Oct. 2005.Google ScholarGoogle Scholar
  5. Hanson, S.; Mingoo Seok; Sylvester, D.; Blaauw, D., "Nanometer Device Scaling in Subthreshold Circuits," Design Automation Conference, 2007. DAC '07. 44th ACM/IEEE, pp.700--705, 4-8 June 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Sylvester, D., "IC design strategies at ultra-low voltages", Integrated Systems and Circuits, IEEE Austin Conference on, May 2006.Google ScholarGoogle Scholar
  7. Calhoun, B.H.; Chandrakasan, A., "Characterizing and modeling minimum energy operation for subthreshold circuits," Low Power Electronics and Design, 2004. ISLPED '04. Proceedings of the 2004 International Symposium on, pp. 90--95, 9-11 Aug. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Bol, David; Ambroise, Renaud; Flandre, Denis; Legat, Jean-Didier, "Analysis and minimization of practical energy in 45nm subthreshold logic circuits," Computer Design, 2008. ICCD 2008. IEEE International Conference on, pp.294--300, 12-15 Oct. 2008.Google ScholarGoogle Scholar
  9. Hanson, S.; Zhai, B.; Blaauw, D.; Sylvester, D.; Bryant, A.; Xinlin Wang, "Energy Optimality and Variability in Subthreshold Design," Low Power Electronics and Design, 2006. ISLPED'06. Proceedings of the 2006 International Symposium on, pp.363--365, 4-6 Oct. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Calhoun, B.H.; Wang, A.; Chandrakasan, A., "Modeling and sizing for minimum energy operation in subthreshold circuits," Solid-State Circuits, IEEE Journal of, vol.40, no.9, pp. 1778--1786, Sept. 2005.Google ScholarGoogle ScholarCross RefCross Ref
  11. Keane, J.; Hanyong Eom; Tae-Hyoung Kim; Sapatnekar, S.; Kim, C., "Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing," Design Automation Conference, 2006 43rd ACM/IEEE, pp.425--428, Sept. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Hanson, S.; Sylvester, D.; Blaauw, D., "A New Technique for Jointly Optimizing Gate Sizing and Supply Voltage in Ultra-Low Energy Circuits," Low Power Electronics and Design, 2006. ISLPED'06. Proceedings of the 2006 International Symposium on, pp.338--341, 4-6 Oct. 2006 Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Bol, D.; Ambroise, R.; Flandre, D.; Legat, J.-D., "Impact of Technology Scaling on Digital Subthreshold Circuits," Symposium on VLSI, 2008. ISVLSI '08. IEEE Computer Society Annual, pp.179--184, 7-9 April 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Abouzeid, F.; Clerc, S.; Renaudin, M.; Sicard, G., "Design Solutions for Ultra-Low Voltage", FTFC, May 2008.Google ScholarGoogle Scholar
  15. Pelgrom, M.J.M.; Duinmaijer, A.C.J.; Welbers, A.P.G., "Matching properties of MOS transistors," Solid-State Circuits, IEEE Journal of, vol.24, no.5, pp. 1433--1439, Oct 1989.Google ScholarGoogle ScholarCross RefCross Ref
  16. Masgonty, J.-M.; Cserveny, S.; Arm, C.; Pfister, P.-D.; Piguet, C., "Low-Power Low-Voltage Standard Cell Libraries with a Limited Number of Cells", Power and Timing Modeling, Optimization and Simulation, International Workshop on, Sept. 2001.Google ScholarGoogle Scholar
  17. Ik Joon Chang; Jae-Joon Kim; Roy, K., "Robust Level Converter Design for Sub-threshold Logic," Low Power Electronics and Design, 2006. ISLPED'06. Proceedings of the 2006 International Symposium on, pp.14--19, 4-6 Oct. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Chavan, A.; MacDonald, E., "Ultra Low Voltage Level Shifters to Interface Sub and Super Threshold Reconfigurable Logic Cells," Aerospace Conference, 2008 IEEE, pp.1--6, 1-8 March 2008.Google ScholarGoogle Scholar
  19. Lin, S.; Costello, D.; "Error Control Coding: Fundamentals and Applications", Prentice-Hall, Englewood Cliffs, NJ, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Nanua, M.; Blaauw, D., "Investigating Crosstalk in Sub-Threshold Circuits," Quality Electronic Design, 2007. ISQED '07. 8th International Symposium on, pp.639--646, 26-28 March 2007 Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISLPED '09: Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design
        August 2009
        452 pages
        ISBN:9781605586847
        DOI:10.1145/1594233

        Copyright © 2009 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 19 August 2009

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        ISLPED '09 Paper Acceptance Rate72of208submissions,35%Overall Acceptance Rate398of1,159submissions,34%

        Upcoming Conference

        ISLPED '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader