skip to main content
10.1145/1594233.1594332acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

End-to-end validation of architectural power models

Published:19 August 2009Publication History

ABSTRACT

While researchers have invested substantial effort to build architectural power models, validating such models has proven difficult at best. In this paper, we examine the accuracy of commonly used architectural power models using the TRIPS system as a case study. We use the TRIPS processor because we have ready access to the TRIPS architectural simulators, RTL simulators, and hardware. Access to all three levels of the design provides key insights that are missing from previously published power validation studies. First, we show that applying common architectural power models out-of-the-box to TRIPS results in an underestimate of the total power by 65%. Next, using a detailed breakdown of an accurate RTL power model (6% average error), we identify and quantify the major sources of inaccuracies in the architectural power model. Finally, we show how fixing these sources of errors decreases the inaccuracy to 24%. While further reductions are difficult due to systematic modeling errors in the simulator, we conclude with recommendations on where to focus attention when building architectural power models.

References

  1. W. L. Bircher, M. Valluri, J. Law, and L. K. John. Runtime Identification of Microprocessor Energy Saving Opportunities. In International Symposium on Low Power Electronics and Design, pages 275--280, August 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A Framework for Architectural-level Power Analysis and Optimizations. In International Symposium on Computer architecture, pages 83--94, May 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Burger, S. W. Keckler, K. S. McKinley, M. Dahlin, L. K. John, C. Lin, C. R. Moore, J. Burrill, R. G. McDonald, W. Yoder, and the TRIPS Team. Scaling to the End of Silicon with EDGE architectures. IEEE Computer, 37(7):44--55, July 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. R. Y. Chen, R. M. Owens, M. J. Irwin, and R. S. Bajwa. Validation of an Architectural Level Power Analysis Technique. In Design Automation Conference, pages 242--245, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. http://www.eembc.org.Google ScholarGoogle Scholar
  6. J. Engel, T. Guzowksi, A. Hunt, D. Lackey, L. Pickup, R. Proctor, K. Reynolds, A. Rincon, and D. Stauffer. Design Methodology for IBM ASIC Products. IBM Journal of Research and Development, 40(4):387--406, July 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. C. Kim, D. Burger, and S. W. Keckler. An Adaptive, Non-Uniform Cache Structure for Wire-Delay Dominated On-Chip Caches. In International Conference on Architectural Support for Programming Languages and Operating Systems, pages 211--222, October 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. N. S. Kim, T. Austin, T. Mudge, and D. Grunwald. Challenges for Architectural Level Power Modeling. In Power Aware Computing, pages 317--337. Kluwer Academic Publishers, Norwell, MA, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. F. J. Mesa-Martinez, J. Nayfach-Battilana, and J. Renau. Power Model Validation Through Thermal Measurements. In International Symposium on Computer Architecture, pages 302--311, June 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Micron Technology Incorporated. Calculating DDR Memory System Power. http://download.micron.com/pdf/technotes/ddr/TN4603.pdf.Google ScholarGoogle Scholar
  11. F. N. Najm. A Survey of Power Estimation Techniques in VLSI circuits. IEEE Transactions on Very Large Scale Integrated Systems, 2(4):446--455, December 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. Natarajan, H. Hanson, S. W. Keckler, C. R. Moore, and D. Burger. Microprocessor Pipeline Energy Analysis. In International Symposium on Low Power Electronics and Design, pages 282--287, August 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M. Nemani and F. Najm. High-level Area and Power Estimation for VLSI Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 18(6):697--713, June 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. H. Shafi, P. J. Bohrer, J. Phelan, C. A. Rusu, and J. L. Peterson. Design and Validation of a Performance and Power Simulator for PowerPC Systems. IBM Journal of Research and Development, 47(5/6):641--651, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. D. Stroobandt and J. V. Campenhout. Accurate Interconnection Length Estimations for Predictions Early in the Design Cycle. In VLSI Design, Special Issue on Physical Design in Deep Submicron, volume 10, pages 1--20, 1999.Google ScholarGoogle Scholar
  16. Synopsys, Inc. PrimePower and VCS. www.synopsys.com.Google ScholarGoogle Scholar
  17. D. Tarjan, S. Thoziyoor, and N. Jouppi. CACTI 4.0. Technical Report HPL-2006-86, HP Labs, 2006.Google ScholarGoogle Scholar
  18. Y. Zhang, D. Parikh, K. Sankaranarayanan, K. Skadron, and M. Stan. HotLeakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects. Technical Report CS-2003-05, University of Virginia, Department of Computer Science, March 2003.Google ScholarGoogle Scholar

Index Terms

  1. End-to-end validation of architectural power models

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISLPED '09: Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design
        August 2009
        452 pages
        ISBN:9781605586847
        DOI:10.1145/1594233

        Copyright © 2009 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 19 August 2009

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        ISLPED '09 Paper Acceptance Rate72of208submissions,35%Overall Acceptance Rate398of1,159submissions,34%

        Upcoming Conference

        ISLPED '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader