ABSTRACT
The ongoing scaling of CMOS technology facilitates the design of systems with continuously increasing functionality but also raises the susceptibility of these systems to reliability issues caused by high power densities and temperatures, respectively. Because of complexity reasons, the Electronic System Level (ESL) is gaining importance as starting point of design. Design alternatives are evaluated at ESL with respect to several design objectives, lately also including temperature. But temperatures are dominated by local power effects - a fact, that has not been sufficiently reflected at ESL until now. There is a lack of appropriate models, which we call ESL Power Density Gap. The contributions of this paper are twofold. First, we describe why the ESL Power Density Gap should be closed. In doing so, we want to stimulate a discussion. After that, we introduce a new ESL methodology for the power analysis of embedded processors, which can be considered as a first step to solve the aforementioned problem. It allows the generation of executable system models from a platform description, combining a functionality representation and component characterizations. Using an example application, it is shown that high power densities, usually invisible at ESL, can be uncovered by applying the proposed approach.
- Graphviz -- Graph Visualization Software. http://www.graphviz.org.Google Scholar
- Y. Abdeddaïm, A. Kerbaa, and O. Maler. Task Graph Scheduling Using Timed Automata. In IPDPS '03: Proceedings of the 17th International Symposium on Parallel and Distributed Processing, 2003. Google ScholarDigital Library
- Accellera Organization. Unified Power Format (UPF) Standard. http://www.unifiedpowerformat.com.Google Scholar
- Apache Design Solutions. RedHawk-SEM. http://www.apache-da.com/apache-da/Home/ProductsandSolutions/SoCPowerNoiseReliability/RedHawk-SEM.html.Google Scholar
- ARM Ltd. ARM7TDMI. http://www.arm.com/products/CPUs/ARM7TDMI.html.Google Scholar
- A. Bansal, M. Meterelliyoz, S. Singh, J. H. Choi, J. Murthy, and K. Roy. Compact thermal models for estimation of temperature-dependent power/performance in FinFET technology. In ASP-DAC '06: Proceedings of the 2006 conference on Asia South Pacific design automation, pages 237--242, 2006. Google ScholarDigital Library
- R. A. Bergamaschi and Y. W. Jiang. State-Based Power Analysis for Systems-on-Chip. In Proceedings of the 40th conference on Design automation (DAC '03), pages 638--641, 2003. Google ScholarDigital Library
- S. Borkar. Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation. IEEE Micro, 25(6):10--16, 2005. Google ScholarDigital Library
- D. Brooks, R. P. Dick, R. Joseph, and L. Shang. Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors. IEEE Micro, 27(3):49--62, 2007. Google ScholarDigital Library
- Cadence Design Systems, Inc. Virtuoso UltraSIM. http://www.cadence.com/products/cic/UltraSim_fullchip.Google Scholar
- T. Chantem, R. P. Dick, and X. S. Hu. Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. In DATE '08: Proceedings of the conference on Design, automation and test in Europe, pages 288--293, New York, NY, USA, 2008. ACM. Google ScholarDigital Library
- Y. Cho, Y. Kim, S. Park, and N. Chang. System-level power estimation using an on-chip bus performance monitoring unit. In ICCAD '08: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, pages 149--154, Piscataway, NJ, USA, 2008. IEEE Press. Google ScholarDigital Library
- A. K. Coskun, T. S. Rosing, Y. Leblebici, and G. D. Micheli. A Simulation Methodology for Reliability Analysis in Multi-Core SoCs. In GLSVLSI '06: Proceedings of the 16th ACM Great Lakes symposium on VLSI, 2006. Google ScholarDigital Library
- A. K. Coskun, T. S. Rosing, K. A. Whisnant, and K. C. Gross. Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs. IEEE Trans. Very Large Scale Integr. Syst., 16(9):1127--1140, 2008. Google ScholarDigital Library
- Coware, Inc. CoWare Processor Designer. http://www.coware.com/products/processordesigner.php.Google Scholar
- I. B. Dhaou and H. Tenhunen. Efficient library characterization for high-level power estimation. IEEE Trans. Very Large Scale Integr. Syst., 12(6):657--661, 2004. Google ScholarDigital Library
- DWARF Debugging Information Format Workgroup. DWARF Debugging Information Format. http://dwarfstd.org/.Google Scholar
- D. G. Fritz and R. G. Sargent. An overview of hierarchical control flow graph models. In WSC '95: Proceedings of the 27th conference on Winter simulation, pages 1347--1355, Washington, DC, USA, 1995. IEEE Computer Society. Google ScholarDigital Library
- Gary Smith EDA. EDA Wallcharts 2008. http://www.garysmitheda.com.Google Scholar
- R. Goering. Automating Low Power Design -- A Progress Report. SCDsource, Special Technology Report, 2008. http://www.scdsource.com/download.php?f=SCDsource_STR_LowPower.pdf.Google Scholar
- R. Goering. Infineon's Jürgen Karmann on power and reliability. SCDsource, 2008. http://www.scdsource.com/article.php?id=239.Google Scholar
- J. Kawa, C. Chiang, and R. Camposano. EDA Challenges in Nano-scale Technology. In Custom Integrated Circuits Conference. CICC '06. IEEE, pages 236--248, 2006.Google Scholar
- A. Kumar, L. Shang, L.-S. Peh, and N. K. Jha. System-Level Dynamic Thermal Management for High-Performance Microprocessors. IEEE Trans. on CAD of Integrated Circuits and Systems, 27(1):96--108, 2008. Google ScholarDigital Library
- M. Loghi, F. Angiolini, D. Bertozzi, L. Benini, and R. Zafalon. Analyzing On-Chip Communication in a MPSoC Environment. In DATE '04: Proceedings of the conference on Design, automation and test in Europe, 2004. Google ScholarDigital Library
- Mentor Graphics. ModelSim. http://www.mentor.com/products/fv/modelsim/.Google Scholar
- M. J. Moran, H. N. Shapiro, B. R. Munson, and D. P. DeWitt. Introduction to Thermal Systems Engineering: Thermodynamics, Fluid Mechanics, and Heat Transfer. Wiley, 2002.Google Scholar
- N. Van Hieu. Multilevel interconnect reliability on the effects of electrothermomechanical stresses. PhD thesis, Univ. of Twente, Netherlands, 2004.Google Scholar
- V. Narayanan and Y. Xie. Reliability Concerns in Embedded System Designs. IEEE Computer, 39(1), 2006. Google ScholarDigital Library
- G. Paci, P. Marchal, F. Poletti, and L. Benini. Exploring temperature-aware design in low-power MPSoCs. In DATE, 2006. Google ScholarDigital Library
- Y.-H. Park, S. Pasricha, F. J. Kurdahi, and N. Dutt. Methodology for Multi-Granularity Embedded Processor Power Model Generation for an ESL Design Flow. In CODES/ISSS '08: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, pages 255--260, 2008. Google ScholarDigital Library
- Y. Sakurai, H. Suzuki, K. Maemura, and S. Takakura. Present Status of the Embedded CPU in SoC Design. NEC Technical Journal, 1(5), 2006.Google Scholar
- J. Schnerr, O. Bringmann, A. Viehl, and W. Rosenstiel. High-Performance Timing Simulation of Embedded Software. In Proceedings of the 45th Design Automation Conference (DAC), pages 290--295, June 2008. Google ScholarDigital Library
- A. Shakouri. Nanoscale Thermal Transport and Microrefrigerators on a Chip. Proceedings of the IEEE, 94, 2006.Google Scholar
- A. Siebenborn, A. Viehl, O. Bringmann, and W. Rosenstiel. Control-Flow Aware Communication and Conflict Analysis of Parallel Processes. In ASP-DAC '07: Proceedings of the 2007 Asia and South Pacific Design Automation Conference, 2007. Google ScholarDigital Library
- Silicon Integration Initiative (Si2). Common Power Format. http://www.si2.org.Google Scholar
- K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan. Temperature-Aware Microarchitecture: Modeling and Implementation. ACM Trans. Archit. Code Optim., 1:94--125, 2004. Google ScholarDigital Library
- J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers. Lifetime Reliability: Toward an Architectural Solution. IEEE Micro, 25(3), 2005. Google ScholarDigital Library
- Synopsys, Inc. Design Compiler and Power Compiler. http://www.synopsys.com/Tools/Implementation/RTLSynthesis/Pages/default.aspx.Google Scholar
- Synopsys, Inc. DesignWare Building Block IP. https://www.synopsys.com/dw/doc.php/doc/dwf/manuals/dwug.pdf.Google Scholar
- Synopsys, Inc. HSIM MOS Reliability Analysis. http://www.synopsys.com/Tools/Verification/AMSVerification/DesignAnalysis/Pages/HSIMplusMOSFET.aspx.Google Scholar
- V. Tiwari, S. Malik, and A. Wolfe. Power Analysis of Embedded Software: A First Step Towards Software Power Minimization. IEEE Trans. Very Large Scale Integr. Syst., 2(4):437--445, 1994. Google ScholarDigital Library
- P. van Stralen and A. D. Pimentel. Signature-based Microprocessor Power Modeling for Rapid System-level Design Space Exploration. In ESTImedia, pages 33--38, 2007.Google ScholarCross Ref
- VaST Systems Technology. CoMET®. http://www.vastsystems.com/docs/CoMET_mar2007.pdf.Google Scholar
- J. Xi, Z. Huang, and P. Zhong. Energy Macro-Modeling of Embedded Microprocessor Using SystemC. In IEEE Int. Conf. on Electro Information Technology, 2005.Google Scholar
Index Terms
- ESL power analysis of embedded processors for temperature and reliability estimations
Recommendations
Power modeling and analysis in early design phases
DATE '14: Proceedings of the conference on Design, Automation & Test in EuropeLow power consumption of electronic devices has been an important requirement for many cyber-physical systems in field. Today, power dissipation is often estimated by spreadsheet-based power analysis. A leading-edge high-level power analysis method has ...
Creation of ESL power models for communication architectures using automatic calibration
DAC '13: Proceedings of the 50th Annual Design Automation ConferencePower consumption is an important factor in chip design. The fundamental design decisions drawn during early design space exploration at electronic system level (ESL) have a large impact on the power consumption. This requires to estimate power already ...
ESL Black Box Power Estimation: Automatic Calibration for IEEE UPF 3.0 Power Models
RAPIDO '18: Proceedings of the Rapido'18 Workshop on Rapid Simulation and Performance Evaluation: Methods and ToolsPower-aware design space exploration at early electronic system level (ESL) is highly facilitated by virtual platforms. In order to define and exchange power models, the IEEE standard 1801-2015 -- UPF has been defined to allow developers, vendors and ...
Comments