skip to main content
10.1145/1629911.1630112acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Throughput optimal task allocation under thermal constraints for multi-core processors

Published:26 July 2009Publication History

ABSTRACT

It is known that temperature gradients and thermal hotspots affect the reliability of microprocessors. Temperature is also an important constraint when maximizing the performance of processors. Although DVFS and DFS can be used to extract higher performance from temperature and power constrained single core processors, the full potential of multi-core performance cannot be exploited without the use of thread migration or task-to-core allocation schemes. In this paper, we formulate the problem of throughput-optimal task allocation on thermally constrained multi-core processors, and present a novel solution that includes optimal speed throttling. We show that the algorithms are implementable in real time and can be implemented in operating system's dynamic scheduling policy. The method presented here can result in a significant improvement in throughput over existing methods (5X over a naive scheme).

References

  1. NAS Parallel Benchmarks. http://www.nas.nasa.gov/Resources/Software/npb.html.Google ScholarGoogle Scholar
  2. SPEC CPU2000 Benchmarks. http://www.spec.org/benchmarks.html.Google ScholarGoogle Scholar
  3. S. Borkar. Thousand core chips: A technology perspective. In DAC, pages 746--749, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. Brooks and M. Martonosi. Dynamic thermal management for high-performance microprocessors. In Proc. HPCA, pages 171--182, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Donald and M. Martonosi. Techniques for multicore thermal management: Classification and new exploration. SIGARCH Comput. Archit. News, 34(2):78--88, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Wentzlaff et al. On-chip interconnection architecture of the Tile Processor. IEEE Micro, 27(5):15--31, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. K. Skadron et al. Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management. In Proc. HPCA'02, pages 17--28, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. D. Powell et al. Heat-and-run: Leveraging SMT and CMP to manage power density through the operating system. SIGOPS Oper. Syst. Rev., 38(5):260--270, 2004.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Monchiero et al. Power/performance/thermal design-space exploration for multicore architectures. IEEE Trans. Parallel Distrib. Syst., 19(5):666--681, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. P. Chaparro et al. Understanding the thermal implications of multicore architectures. TPDS, 18(8):1055--1065, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. Michaud et al. A study of thread migration in temperature-constrained multicores. ACM Trans. Archit. Code Optim., 4(2):9-1--9-28, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Murali et al. Temperature-aware processor frequency assignment for MPSoCs using convex optimization. In Proc. CODES+ISSS, pages 111--116, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. T. Constantinou et al. Performance implications of single thread migration on a chip multi-core. ACM SIGARCH, 33(4):80--91, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. W. Huang et al. An improved block-based thermal model in hotspot 4.0 with granularity considerations. In WDDD, 2007.Google ScholarGoogle Scholar
  15. W. Liao et al. Temperature and supply voltage aware performance and power modeling at microarchitecture level. TCAD, 24(7):1042--1053, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Y. Han et al. Temptor: A lightweight runtime temperature monitoring tool using performance counters. In TACS, pages 17--28, 2006.Google ScholarGoogle Scholar
  17. J. Munkres. Algorithms for the assignment and transportation problems. Journal of the Society for Industrial and Applied Mathematics, 5(1):32--38, 1957.Google ScholarGoogle ScholarCross RefCross Ref
  18. R. Rao. Fast and accurate techniques for early design space exploration and dynamic thermal management of multi-core processors. PhD thesis, Arizona State University, 2008.Google ScholarGoogle Scholar
  19. R. Rao and S. Vrudhula. Performance optimal processor throttling under thermal constraints. In Proc. CASES, pages 257--266, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. R. Rao and S. Vrudhula. Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors. In Proc. ICCAD, pages 537--542, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Y. Taur. CMOS design near the limit of scaling. IBM J. Res. and Dev., 46(23):213--222, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. Zhang and K. S. Chatha. Approximation algorithm for the temperature-aware scheduling problem. In Proc. ICCAD, pages 281--288, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Throughput optimal task allocation under thermal constraints for multi-core processors

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          DAC '09: Proceedings of the 46th Annual Design Automation Conference
          July 2009
          994 pages
          ISBN:9781605584973
          DOI:10.1145/1629911

          Copyright © 2009 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 26 July 2009

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate1,770of5,499submissions,32%

          Upcoming Conference

          DAC '24
          61st ACM/IEEE Design Automation Conference
          June 23 - 27, 2024
          San Francisco , CA , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader