skip to main content
10.1145/1687399.1687453acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

The epsilon-approximation to discrete VT assignment for leakage power minimization

Published: 02 November 2009 Publication History

Abstract

As VLSI technology reaches 45nm technology node, leakage power optimization has become a major design challenge. Threshold voltage (vt) assignment has been extensively studied, due to its effectiveness in leakage power reduction. In contrast to the efficiently solvable continuous vt assignment problem, the discrete vt assignment problem is known to be NP-hard. All of the existing techniques are heuristics without performance guarantee due to the NP-hardness nature of the problem. It is still not known whether there is any rigorous approximation algorithm for the discrete vt assignment problem.
In this paper, the first ε-approximation algorithm is designed for the discrete vt assignment problem. The algorithm can ε-approximate the optimal vt assignment solution in O([EQUATION]) time, where n is the size of the combinational circuit and m is the number of available threshold voltages per gate. It is based on an advanced potential function technique and an efficient dual decision core query technique. Our experiments on ISCAS'85 benchmark circuits demonstrate that the new algorithm always returns a solution with error bounded by ε even compared to the lower bound of the optimal solution. On average, it can approximate the optimal solution with 2.8% additional leakage power running in 51.3 seconds, while the integer linear programming technique is computationally prohibitive. Our algorithm also significantly outperforms the heuristic in [1] by 16.5% leakage power saving with similar runtime. This clearly demonstrates the practicality of the proposed ε-approximation algorithm for the vt assignment problem.

References

[1]
L. Wei, Z. Chen, M. Johnson, K. Roy, and V. De, "Design and optimization of low voltage high performance dual threshold cmos circuits," in DAC, pp. 489--494, 1998.
[2]
S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, and J. Yamada, "1-v power supply high-speed digital circuit technology withmultithreshold-voltage cmos," IEEE Journal of Solid-State Circuits, vol. 30, no. 8, pp. 847--854, 1995.
[3]
V. Sundararajan and K. Parhi, "Low power synthesis of dual threshold voltage cmos vlsi circuits," in ISLPED, pp. 139--144, 1999.
[4]
P. Pant, R. Roy, and A. Chatterjee, "Dual-threshold voltage assignment with transistor sizing for low power cmos circuits," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 9, no. 2, pp. 390--394, 2001.
[5]
T. Karnik, Y. Ye, J. Tschanz, L. Wei, S. Burns, V. Govindarajulu, V. De, and S. Borkar, "Total power optimization by simultaneous dual-vt allocation and device sizing in high performance microprocessors," in DAC, pp. 486--491, 2002.
[6]
D. Lee and D. Blaauw, "Static leakage reduction through simultaneous threshold voltage and state assignment," in DAC, pp. 191--194, 2003.
[7]
D. Nguyen, A. Davare, M. Orshansky, D. Chinnery, B. Thompson, and K. Keutzer, "Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization," in ISLPED, pp. 158--163, 2003.
[8]
F. Gao and J. Hayes, "Total power reduction in cmos circuits via gate sizing and multiple threshold voltages," in DAC, pp. 31--36, 2005.
[9]
H. Chou, Y.-H. Wang, and C.-P. Chen, "Fast and effective gate-sizing with multiple-vt assignment using generalized lagrangian relaxation," in ASPDAC, pp. 381--386, 2005.
[10]
S. Shah, A. Srivastava, D. Sharma, D. Sylvester, D. Blaauw, and V. Zolotov, "Discrete vt assignment and gate sizing using a self-snapping continuous formulation," in DAC, pp. 705--712, 2005.
[11]
V. Khandelwal, A. Davoodi, and A. Srivastava, "Simultaneous vt selection and assignment for leakage optimization," IEEE Transactions on VLSI Systems, vol. 13, no. 6, pp. 762--765, 2005.
[12]
T.-H. Wu, L. Xie, and A. Davoodi, "A parallel and randomized algorithm for large-scale dual-vt assignment and continuous gate sizing," in ISLPED, pp. 45--50, 2008.
[13]
T. Karnik, S. Borkar, and V. De, "Sub-90nm technologies: challenges and opportunities for cad," in ICCAD, pp. 203--206, 2002.
[14]
J. P. Fishburn and A. E. Dunlop, "TILOS: A posynomial programming approach to transistor sizing,", in ICCAD, pp. 326--328, 1985.
[15]
W.-N. Li, A. Lim, P. Agrawal, and S. Sahni, "On the circuit implementation problem," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 12, no. 8, pp. 1147--1156, 1993.
[16]
K. Jansen and H. Zhang, "Approximation algorithms for general packing problems and their application to the multicast congestion problem," Mathematical Programming, vol. 114, no. 1, pp. 183--206, 2008.
[17]
R. Hassin, "Approximation schemes for the restricted shortest path problem," Mathematics of Operations Research, vol. 17, no. 1, pp. 36--42, 1992.
[18]
S. Hu, Z. Li, and C. Alpert, "A polynomial time approximation scheme for timing constrained minimum cost layer assignment," in ICCAD, 2008.
[19]
S. Hu, M. Ketkar, and J. Hu, "Gate Sizing For Cell Library-Based Designs", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 6, pp. 818--825, 2009.
[20]
CPMO -- Constrained Placement by Multilevel Optimization, http://cadlab.cs.ucla.edu/cpmo/

Cited By

View all
  • (2011)Low power discrete voltage assignment under clock skew schedulingProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950920(515-520)Online publication date: 25-Jan-2011
  • (2011)Low power discrete voltage assignment under clock skew scheduling16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)10.1109/ASPDAC.2011.5722244(515-520)Online publication date: Jan-2011

Index Terms

  1. The epsilon-approximation to discrete VT assignment for leakage power minimization

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design
      November 2009
      803 pages
      ISBN:9781605588001
      DOI:10.1145/1687399
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 02 November 2009

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. ε-approximation
      2. NP-complete
      3. VT assignment
      4. leakage power

      Qualifiers

      • Research-article

      Conference

      ICCAD '09
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 457 of 1,762 submissions, 26%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)1
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 16 Feb 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2011)Low power discrete voltage assignment under clock skew schedulingProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950920(515-520)Online publication date: 25-Jan-2011
      • (2011)Low power discrete voltage assignment under clock skew scheduling16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)10.1109/ASPDAC.2011.5722244(515-520)Online publication date: Jan-2011

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media