skip to main content
10.1145/1687399.1687496acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Modeling of layout-dependent stress effect in CMOS design

Published:02 November 2009Publication History

ABSTRACT

Strain technology has been successfully integrated into CMOS fabrication to improve carrier transport properties since 90nm node. Due to the non-uniform stress distribution in the channel, the enhancement in carrier mobility, velocity, and threshold voltage shift strongly depend on circuit layout, leading to systematic performance variations among transistors. A compact stress model that physically captures this behavior is essential to bridge the process technology with design optimization. In this paper, starting from the first principle, a new layout-dependent stress model is proposed as a function of layout, temperature, and other device parameters. Furthermore, a method of layout decomposition is developed to partition the layout into a set of simple patterns for efficient model extraction. These solutions significantly reduce the complexity in stress modeling and simulation. They are comprehensively validated by TCAD simulation and published Si-data, including the state-of-the-art strain technologies and the STI stress effect. By embedding them into circuit analysis, the interaction between layout and circuit performance is well benchmarked at 45nm node.

References

  1. The International Technology Roadmap for Semiconductors (ITRS), 2008.Google ScholarGoogle Scholar
  2. K. Rim, "Fabrication and analysis of deep submicron strained-Si N-MOSFET's," TED, Vol. 47, no. 7, pp. 1406--1415, July 2000.Google ScholarGoogle ScholarCross RefCross Ref
  3. J-S Lim, et al., "Comparison of threshold-voltage shifts for uniaxial and biaxial tensile-stressed n-MOSFETs," EDL, vol. 25, no. 11, pp. 731--733, Nov. 2004.Google ScholarGoogle ScholarCross RefCross Ref
  4. H. Nii, et al., "A 45nm High Performance Bulk Logic Platform Technology (CMOS6) using Ultra High NA(1.07) Immersion Lithography with Hybrid Dual-Damascene Structure and Porous Low-k BEOL," in IEDM, pp. 685--688, 2006Google ScholarGoogle Scholar
  5. K. Ota, et al., "Novel locally strained channel technique for high performance 55nm CMOS," in IEDM, pp. 27--30, 2002.Google ScholarGoogle Scholar
  6. G. Scott, et al., "NMOS drive current reduction caused by transistor layout and trench isolation induced stress," in IEDM Tech. Dig., 1999, pp. 827--830.Google ScholarGoogle Scholar
  7. R. A. Bianchi, et al., "Accurate modeling of trench isolation induced mechanical stress effects on MOSFET electrical performance," IEDM, pp. 117--120, 2002.Google ScholarGoogle Scholar
  8. H. Aikawa, et al., "Variability aware modeling and characterization in standard cell in 45 nm CMOS with stress enhancement technique," in IEEE VLSI Symp, pp. 90--91, 2008.Google ScholarGoogle Scholar
  9. G. Eneman, et al., "Scalability of the Si1-xGex Source/Drain technology for the 45-nm technology node and beyond," TED, vol. 53, no. 7, pp. 1647--1656, Jul. 2006.Google ScholarGoogle ScholarCross RefCross Ref
  10. Taurus Tsuprem4, Manual, Oct. 2005. Version X-2005. 10.Google ScholarGoogle Scholar
  11. V. Moroz, et al., "The impact of layout on stress-enhanced transistor performance," pp. 143--146, SISPAD 2005.Google ScholarGoogle Scholar
  12. A. B. Kahng, et al., "Exploiting STI stress for performance," pp. 83--90, ICCAD, 2007 Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. V. Joshi, et al., "Leakage power reduction using stress-enhanced layouts," pp. 912--917, DAC 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. K-W Su, et al., "A scaleable model for STI mechanical stress effect on layout dependence of MOS electrical characteristics," Custom Integrated Circuits Conference, pp. 245--248, 2003.Google ScholarGoogle Scholar
  15. BSIM4 Manual, Univ. California, Berkeley, CA, 2005.Google ScholarGoogle Scholar
  16. C. E. Murray, "Mechanics of edge effects in anisotropic thin film/substrate systems," Journal of Applied Physics, vol. 100, 103532, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  17. M. V. Dunga, et al., "Modeling advanced FET technology in a compact model," TED, vol. 53, No. 9, pp. 1971--1978, Sept. 2006.Google ScholarGoogle ScholarCross RefCross Ref
  18. Taurus Medici, Manual, June 2006. Version Y-2006.06.Google ScholarGoogle Scholar
  19. Xi-Wei Lin, "Modeling of Proximity Effects in Nanometer MOS-FET's," IEEE/ACM Workshop on Compact Variability Modeling 2008.Google ScholarGoogle Scholar
  20. C. S. Smith, "Piezoresistance effect in Germanium and Silicon," Phys. Rev., Vol. 94, no. 1, pp. 42--49, 1954.Google ScholarGoogle ScholarCross RefCross Ref
  21. Y. Kanda, at el., "A graphical representation of the piezoresistance coefficients in silicon," TED, vol. 29, No. 1, pp. 64--70, Jan. 1982.Google ScholarGoogle ScholarCross RefCross Ref
  22. E. Ungersboeck, st al., "The effect of general strain on the band structure and electron mobility of silicon," TED, vol. 54, No. 9, pp. 2183--2190, Sept. 2007.Google ScholarGoogle ScholarCross RefCross Ref
  23. J. L. Egley and D. Chidambarrao, "Strain Effects on Device Characteristics: Implementation in Drift-Diffusion Simulators," SolidState Electronics, 36(12), pp. 1653--1664, 1993.Google ScholarGoogle ScholarCross RefCross Ref
  24. Sentaurus Device, Manual, June 2005. Version Y-2006. 06.Google ScholarGoogle Scholar
  25. G. L. Bir and G. E. Pikus, Symmetry and Strain-Induced Effects in Semiconductors, Wiley, New York, 1974.Google ScholarGoogle Scholar
  26. F. Payet, et al., "Nonuniform Mobility-Enhancement Techniques and Their Impact on Device Performance," TED, Vol. 55, no. 4, pp. 1050--1057, April 2008Google ScholarGoogle ScholarCross RefCross Ref
  27. D. Sinitsky, "Physics of future very large-sclae integration (VLSI) MOSFETs," Ph.D. dissertation, Univ. California, Berkeley, CA, 1997.Google ScholarGoogle Scholar
  28. W. Zhang, et al., "On the threshold voltage of strained-Si-Si1-xGex MOSFETs," TED, Vol. 52, no. 2, pp. 263--268, Feb. 2005.Google ScholarGoogle ScholarCross RefCross Ref
  29. S. M. Sze, Semiconductor Devices: Physics and Technology, 2nd ed., New York: John Wiley & Sons, 2002.Google ScholarGoogle Scholar
  30. Z.-H. Liu, et al., "Threshold Voltage Model for Deep-Submicrometer MOSFET's," TED, Vol. 40, no. 1, pp. 86--95, Jan. 1993.Google ScholarGoogle ScholarCross RefCross Ref
  31. W. Zhao, et al., "New generation of predictive technology model for sub-45nm design exploration," IEEE TED, vol. 53, no. 11, pp. 2816--2823, Nov. 2006. (Available at http://www.eas.asu.edu/~ptm)Google ScholarGoogle ScholarCross RefCross Ref
  32. A. R. Subramaniam, et al., "Design Rule Optimization of Regular Layout for Leakage Reduction in Nanoscale Design," in ASP-DAC, pp. 474--479, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. H. Tsuno, et al., "Advanced analysis and modeling of MOSFET characteristic fluctuation caused by layout variation," in IEEE VLSI Symp, pp. 204--205, 2007.Google ScholarGoogle Scholar
  34. F. Andrieu, et al., "Experimental and Comparative Investigation of Low and High Field Transport in Substrate- and Process-Induced Strained Nanoscaled MOSFETs," in IEEE VLSI Symp, pp. 176--177, 2005.Google ScholarGoogle Scholar
  35. Marc J. Madou, "Fundamentals of microfabrication: the science of miniaturization," pp. 198, CRC Press, 2nd edition, 2002.Google ScholarGoogle Scholar

Index Terms

  1. Modeling of layout-dependent stress effect in CMOS design

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Conferences
              ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design
              November 2009
              803 pages
              ISBN:9781605588001
              DOI:10.1145/1687399

              Copyright © 2009 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 2 November 2009

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article

              Acceptance Rates

              Overall Acceptance Rate457of1,762submissions,26%

              Upcoming Conference

              ICCAD '24
              IEEE/ACM International Conference on Computer-Aided Design
              October 27 - 31, 2024
              New York , NY , USA

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader