Abstract
Double-gate FETs, specifically FinFETs, are emerging as promising substitutes for bulk CMOS at the 32nm technology node and beyond because of the various obstacles to scaling faced by CMOS, such as short-channel effects, leakage power, and process variations. Another trend in chip multiprocessor design is incorporation of sophisticated on-chip interconnection networks. However, such networks are significant power-consumers. In this article, we address these two trends by presenting a power simulator for FinFET-based on-chip interconnection networks. It estimates both dynamic and leakage power. We present results for various FinFET design styles and temperatures (since leakage power changes drastically with temperature), and show that one FinFET design style may be much superior to another from the power consumption point of view.
- Agarwal, N., Peh, L.-S., and Jha, N. K. 2009. GARNET: A detailed interconnection network model inside a full-system simulator. In Proceedings of the International Symposium on Performance Analysis of Systems and Software. http://www.cs.wisc.edu/gems/download.html.Google Scholar
- Chen, R. Y., Vijaykrishnan, N., and Irwin, M. J. 1999. Clock power issues in system-on-a-chip designs. In Proceedings of the IEEE Computer Society Workshop on VLSI. 48--53. Google ScholarDigital Library
- Chen, X. and Peh, L.-S. 2003. Leakage power modeling and optimization in interconnection networks. In Proceedings of the International Symposium on Low Power Electronics Design. 90--95. Google ScholarDigital Library
- Dally, W. J. and Towles, B. 2001. Route packets, not wires: On-Chip interconnection networks. In Proceedings of the Design Automation Conference. 684--689. Google ScholarDigital Library
- Fossum, J. G., Ge., L., Chiang, M.-H., Trivedi, V. P., Chowdhury, M. M., Mathew, L., Workman, G. O., and Nguyen, B.-Y. 2004. A process/physics-based compact model for nonclassical CMOS device and circuit design. Solid State Electron. 48, 6, 919--926.Google ScholarCross Ref
- Guo, Z., Balasubramanian, S., Zlatanovici, R., King, T.-J., and Nikolic, B. 2005. FinFET-based SRAM design. In Proceedings of the International Symposium on Low Power Electronics and Design. 2--7. Google ScholarDigital Library
- Ho, R., Mai, K., and Horowitz, M. 2001. The future of wires. Proc. IEEE 89, 4, 490--504.Google ScholarCross Ref
- Hoskote, Y., Vangal, S., Singh, A., Borkar, N., and Borkar, S. 2007. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27, 5, 51--61. Google ScholarDigital Library
- ITRS. 2007. International technology roadmap for semiconductors. http://www.itrs.net.Google Scholar
- Kumar, A.; Kundu, P., Singh, A. P., Peh, L.-S., and Jha, N. K. 2007. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. In Proceedings of the International Conference on Computer Design. 63--70.Google Scholar
- Liu, D. and Svensson, C. 1994. Power consumption estimation in CMOS VLSI chips. IEEE J. Solid-State Circ. 29, 6, 663--670.Google ScholarCross Ref
- Mai, K., Paaske, T., Jayasena, N., Ho, R., Dally, W. J., and Horowitz, M. 2000. Smart memories: A modular reconfigurable architecture. In Proceedings of the International Symposium on Computer Architecture. 161--171. Google ScholarDigital Library
- Mukherjee, S. S., Bannon, P., Lang, S., Spink, A., and Webb, D. 2002. The alpha 21364 network architecture. IEEE Micro 22, 1, 26--35. Google ScholarDigital Library
- Muttreja, A., Agarwal, N., and Jha, N. K. 2007. CMOS logic design with independent-gate FinFETs. In Proceedings of the International Conference on Computer Design. 560--567.Google Scholar
- Pham, D. C., Aipperspach, T., Boerstler, D., Bolliger, M., Chadhury, R., Cox, D., Harvey, P., Harvey, P. M., Hofstee, H. P., Johns, C., Kahle, J., Kameyama, A., Keaty, J., Masubuchi, Y., Pham, M., Pille, J., Posluszny, S, Riley, M., Stasiak, D. L., Suzuoki, M., Takahashi, O., Warnock, J., Weitzel, S., Wendel, D., and Yazawa, K. 2006. Overview of the architecture, circuit designs and physical implementation of the first generation cell processor. IEEE J. Solid-State Circ. 41, 1, 179--196.Google ScholarCross Ref
- PTM. Predictive technology model. http://www.eas.asu.edu/~ptm/.Google Scholar
- Sankaralingam, K., Nagarajan, R., Liu, H., Kim, C., Huh, J., Burger, D., Keckler, S., and Moore, C. R. 2003. Exploiting ILP, TLP, and DLP with the polymorphous TRIPS architecture. In Proceedings of the International Symposium on Computer Architecture. 422--433. Google ScholarDigital Library
- Shang, L., Peh, L.-S., and Jha, N. K. 2003. Dynamic voltage scaling with links for power optimization of interconnection networks. In Proceedings of the International Symposium on High-Performance Computer Architecture. 91--102. Google ScholarDigital Library
- Taylor, M. B., Lee, W., Miller, J., Wentzlaff, D., Bratt, I., Greenwald, B., Hoffmann, H., Johnson, P., Kim, J., Psota, J., Saraf, A., Shnidman, N., Strumpen, V., Frank, M., Amarasinghe, S., and Agarwal, A. 2004. Evaluation of the Raw microprocessor: An exposed-wire-delay architecture for ILP and streams. In Proceedings of the International Symposium on Computer Architecture. 2--13. Google ScholarDigital Library
- Wang, H., Zhu, X., Peh, L.-S., And Malik, S. 2002. ORION: A power-performance simulator for interconnection networks. In Proceedings of the International Symposium on Microarchitecture. 294--305. Google ScholarDigital Library
- Zhao, W. and Cao, Y. 2006. New generation of predictive technology model for sub-45nm early design exploration. IEEE Trans. Electron. Dev. 53, 11, 2816--2823.Google ScholarCross Ref
Index Terms
- FinFET-based power simulator for interconnection networks
Recommendations
FinFET-based dynamic power management of on-chip interconnection networks through adaptive back-gate biasing
ICCD'09: Proceedings of the 2009 IEEE international conference on Computer designOn-chip interconnection networks are fast becoming significant power-consumers in high-performance chip multiprocessors (CMPs). Increased power consumption leads to more heat, adversely degrades system reliability, and may increase the cost of cooling ...
3D vs. 2D Device Simulation of FinFET Logic Gates under PVT Variations
Recently, multigate transistors have been gaining attention as an alternative to conventional MOSFETs. Superior gate control over the channel, smaller subthreshold leakage, and reduced susceptibility to process variations are some of the key features ...
McPAT-PVT: Delay and Power Modeling Framework for FinFET Processor Architectures Under PVT Variations
As technology has moved into the deep-submicrometer regime, the shrinking feature size has placed a considerable stress on CMOS fabrication due to short-channel effects (SCEs) and excessive leakage. Although many research efforts have been devoted to ...
Comments