skip to main content
10.1145/1785481.1785540acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Low power nanoscale buffer management for network on chip routers

Published:16 May 2010Publication History

ABSTRACT

Network-on-Chip (NoC) is an on-chip communication solution in the future system-on-a-chip (SoC) necessitating high performance operation with low power dissipation. We present a novel dynamic power management technique for low power NoC router buffers using nano CMOS SRAMS. A feedback controller was designed for block level power management and a power aware adaptive controller was designed for low power flit storage encoding to reduce energy consumptions in the router buffers. Experiments with the proposed scheme showed up to 20% reduction in energy consumption while improving throughput by up to 21%.

References

  1. Simunic, T., Boyd, S., "Managing power consumption in networks on chips," Design, Automation and Test in Europe Conference and Exhibition, pp.110--116, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Jun Wang; Hongbo Zeng; Kun Huang; Ge Zhang; Yan Tang, "Zero-Efficient Buffer Design for Reliable Network-on-Chip in Tiled Chip-Multi-Processor," Design, Automation and Test in Europe, pp.792--795, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Banerjee, A., Mullins, R., and Moore, S. "A Power and Energy Exploration of Network-on-Chip Architectures", In Proceedings of the First international Symposium on Networks-on-Chip, pp. 163--172, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Young Hoon Kang; Taek-Jun Kwon; Draper, J., "Dynamic packet fragmentation for increased virtual channel utilization in on-chip routers," ACM/IEEE International Symposium on Networks-on-Chip, pp.250--255, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Wang, L., Zhang, J., Yang, X., and Wen, D., "Router with centralized buffer for network-on-chip". In Proceedings of the 19th ACM Great Lakes Symposium on VLSI, pp. 469--474, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Ogras, U. Y., Hu, J., and Marculescu, R., "Key research problems in NoC design: a holistic perspective.", In Proceedings of the 3rd IEEE/ACM/IFIP international Conference on Hardware/Software Codesign and System Synthesis, pp. 69--74, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Chrysostomos A. Nicopoulos, Dongkook Park, Jongman Kim, N. Vijaykrishnan, Mazin S. Yousif, Chita R. Das, "ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers," IEEE/ACM International Symposium on Microarchitecture, pp. 333--346, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Shim, K. S., Cho, M. H., Kinsy, M., Wen, T., Lis, M., Suh, G. E., and Devadas, S. 2009. Static virtual channel allocation in oblivious routing. In Proceedings of the 2009 3rd ACM/IEEE international Symposium on Networks-on-Chip, pp. 38--43, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Kodi, A., Sarathy, A., and Louri, A., Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture. In Proceedings of the 3rd ACM/IEEE Symposium on Architecture For Networking and Communications Systems, pp. 47--56, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Koranne, S., "Element Interconnect Bus", Practical Computing on the Cell Broadband Engine, Springer US, pp. 61--66, 2009 (ISBN: 978-1-4419-0342-6).Google ScholarGoogle Scholar
  11. Lee, C., Potkonjak, M., and Mangione-Smith, W. H. 1997. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems. In Proceedings of the 30th Annual ACM/IEEE international Symposium on Microarchitecture, pp. 330--335, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, R.B. Brown, "MiBench: A free, commercially representative embedded benchmark suite," Annual IEEE International Workshop Workload Characterization, pp. 3--14, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. The SPEC2006 Benchmarks, http://www.spec.org.Google ScholarGoogle Scholar
  14. Kodi, A., Sarathy, A., and Louri, A., Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture. In Proceedings of the 3rd ACM/IEEE Symposium on Architecture For Networking and Communications Systems, pp. 47--56, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. W. Zhao and Y. Cao. New Generation of Predictive Technology Model for sub-45nm Design Exploration. In Proceedings of International Symposium on Quality Electronic Design, pp. 585--590, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. http://archc.sourceforge.net.Google ScholarGoogle Scholar
  17. S. K. Mandal, N. Gupta, A. Mandal, J. Malave, J. D. Lee and R. Mahapatra, "NoCBench: A Benchmarking Platform for Network on Chip", In Proc. of Workshop on Unique Chips and Systems, 2009.Google ScholarGoogle Scholar
  18. G. Thakral, S. P. Mohanty, D. Ghai, and D. K. Pradhan, "A Combined DOE-ILP Based Power and Read Stability Optimization in Nano-CMOS SRAM", in Proceedings of the 23rd IEEE International Conference on VLSI Design, pp. 45--50, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Low power nanoscale buffer management for network on chip routers

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            GLSVLSI '10: Proceedings of the 20th symposium on Great lakes symposium on VLSI
            May 2010
            502 pages
            ISBN:9781450300124
            DOI:10.1145/1785481

            Copyright © 2010 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 16 May 2010

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate312of1,156submissions,27%

            Upcoming Conference

            GLSVLSI '24
            Great Lakes Symposium on VLSI 2024
            June 12 - 14, 2024
            Clearwater , FL , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader