skip to main content
10.1145/1787275.1787335acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
research-article

Power and performance optimization of voltage/frequency island-based networks-on-chip using reconfigurable synchronous/bi-synchronous FIFOs

Published:17 May 2010Publication History

ABSTRACT

Distributing a single global clock across a chip while meeting the power requirements of the design is a troublesome task due to shrinking technology nodes associated with high clock frequencies. To deal with this, network-on-chip (NoC) architectures partitioned into several voltage-frequency islands (VFIs) have been proposed. To interface the islands on a chip, operating at different frequencies, a complex bi-synchronous FIFO design is inevitable. However, these FIFOs are not needed if adjacent switches belong to the same clock domain. In this paper, a Reconfigurable Synchronous/Bi-Synchronous (RSBS) FIFO is proposed which can adapt its operation to either synchronous or bi-synchronous mode. The FIFO is presented by three different scalable and synthesizable design styles and, in addition, some techniques are suggested to show how the FIFO could be utilized in a VFI-based NoC. Our analysis reveal that the RSBS FIFOs can help to achieve up to 15% savings in the average power consumption of NoC switches and 29% improvement in the total average packet latency in the case of MPEG-4 encoder application, when compared to a non-reconfigurable architecture.

References

  1. A. Jantsch and H. Tenhunen. Networks on Chip, Kluwer Academic Publishers, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. L. Benini, and G. D. Micheli, "Networks on chips: a new SOC paradigm," IEEE computer, Vol. 35, No. 1, 2002, pp. 70--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. M. Chapiro, Globally asynchronous locally synchronous systems, Ph.D. dissertation, Dept. Comput. Sci., Stanford University, Stanford, CA, 1984. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Muttersbach, T. Villager, and W. Fichtner, "Practical design of globally asynchronous locally synchronous systems," In Proc. of Int. Symp. on Advanced Research in Asynchronous Circuits and Systems, 2000, pp. 52--59. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. E. Lackey, P. S Zuchowski, T. R Bednar, D. W. Stout, S. W Gould, and J. W Cohn, "Managing power and performance for system-on-chip designs using volatge islands," in Proc. of IEEE/ACM Int. Conf. on Computer Aided Design, 2002, pp. 195--202. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. Choudhary, and D. Marculescu, "Power Management of Voltage/Frequency Island-Based Systems Using Hardware-Based Methods," IEEE Transactions on VLSI Systems, Vol. 17, No. 3, 2009, pp. 427--438. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. U. Y. Ogras, R. Marculescu, D. Marculescu, and E. G. Jung, "Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip," IEEE Transactions on VLSI Systems, Vol. 17, No. 3, 2009, pp. 330--341. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C.-L. Chou, U. Y. Ogras, and R. Marculescu, "Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 27, No. 10, 2008, pp. 1866--1879. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. K. Niyogi and D. Marculescu, "Speed and voltage selection for gals systems based on voltage/frequency islands," in Proc. of ACM/IEEE Asian-South Pacific Design Automation Conf., 2005, pp. 292--297. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. T. Chelcea and S. M. Nowick, "Robust interfaces for mixed-timing systems," IEEE Transactions on VLSI Systems, Vol. 12, No. 8, 2004, pp. 857--873. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Dasgupta and A. Yakovlev, "Comparative analysis of GALS clocking schemes," IET Computer and Digital Technologies, Vol. 1, No. 2, 2007, pp. 59--69.Google ScholarGoogle ScholarCross RefCross Ref
  12. C. Cummings and P. Alfke, "Simulation and synthesis techniques for asynchronous FIFO design with asynchronous pointer comparison," in SNUG-2002, San Jose, CA, 2002.Google ScholarGoogle Scholar
  13. I. Panades and A. Greiner, "Bi-synchronous FIFO for synchronous circuit communication well suited for network-on-chip in GALS architectures," in Proc. of Int. Symp. on Networks-on-Chip, 2007, pp. 83--94. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. T. Ono, and M. Greenstreet, "A Modular Synchronizing FIFO for NoCs," in Proc. of Int. Symp. on Networks-on-Chip, 2009, pp. 224--233. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Quartana, S. Renane, A. Baixas, L. Fesquet, and M. Renaudin, "GALS systems prototyping using multiclock FPGAs and asynchronous network-on-chips," in Proc. of Int. Conf. on Field Programmable Logic and Applications, 2005, pp. 299--304.Google ScholarGoogle Scholar
  16. G. Campobello, M. Castano, C. Ciofi, and D. Mangano, "GALS networks on chip: A new solution for asynchronous delay-insensitive links," in Proc. of Design, Automation and Test in Europe Conf., 2006, pp. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. T. Bjerregaard and J. Sparso, "A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip," in Proc. of Design, Automation and Test in Europe Conf., 2005, pp. 1226--1231. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Lars S. Nielson, Cees Niessen, Jens Spars, and Kees Van Berkel, "Low-power operation using self timed circuits and adaptive scaling of the supply voltage," IEEE Transactions on VLSI Systems, Vol. 2, No. 4, 1994, pp. 391--397. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. C. A. Zeferino, M. E. Kreutz, and A. A. Susin, "RASoC: A router soft-core for networks-on-chip," in Proc. of Design, Automation and Test in Europe Conf., 2004, pp. 198--203. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. A. Sheibanyrad, I. Miro Panades, and A. Greiner, "Systematic comparison between the asynchronous and the multi-synchronous implementations of a Network on Chip architecture," in Proc. of IEEE Design, Automation and Test in Europe Conf., 2007, pp. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. R. Ginosar, "Fourteen ways to fool your synchronizer," in Proc. of 9th IEEE Int. Symp. on Asynchronous Circuits and Systems, 2003, pp. 89--97. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. F. Mu and C. Svensson, "Self-tested self-synchronization circuit for mesochronous clocking," in IEEE Transactions on Circuits and Systems-II, Vol. 48, No. 2, 2001, pp. 129--140.Google ScholarGoogle ScholarCross RefCross Ref
  23. A. Chakraborty and M. R. Greenstreet, "Efficient self-timed interfaces for crossing clock domains," in Proc. of 9th IEEE Int. Symp. on Asynchronous Circuits and Systems, 2003, pp. 78--88. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. E. B. Van der Tol, E. G. T. Jaspers, "Mapping of MPEG-4 Decoding on a Flexible Architecture Platform," SPIE, 2002, pp. 1--13.Google ScholarGoogle Scholar

Index Terms

  1. Power and performance optimization of voltage/frequency island-based networks-on-chip using reconfigurable synchronous/bi-synchronous FIFOs

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader