skip to main content
10.1145/1811100.1811119acmconferencesArticle/Chapter ViewAbstractPublication PagesslipConference Proceedingsconference-collections
research-article

Fast, accurate a priori routing delay estimation

Published:13 June 2010Publication History

ABSTRACT

We propose in this paper a novel approach for speeding timing closure. We focus on the problem of accurate post-routing delay estimation from a given placement. Post-routing delays differ from placement delays due to factors such as net topology, layer assignment and congestion. Fundamental to our approach is utilizing an existing base design to predict future designs. We present four wire-delay estimation techniques based on: delay fitting, Steiner-aware delay fitting, Steiner-aware RC sampling, and scaled Steiner-aware RC sampling. We apply our techniques to several designs, and using an industrial flow, we demonstrate that it is possible to estimate the routing delays with an average estimation error of 16% on benchmark circuits. These results are of practical value, and improve on the state-of-the-art industrial estimation capabilities.

References

  1. W. E. Donath, "Placement and Average Interconnection Lengths of Computer and Systems," IEEE Trans. Circuits and Systems, vol. 26), pp. 272--277, 1979.Google ScholarGoogle ScholarCross RefCross Ref
  2. M. Pedram and B. Preas, "Accurate Prediction of Physical Design Characteristics for Random Logic," in International Conference on Computer Design, 1989, pp. 100--108.Google ScholarGoogle Scholar
  3. S. Bodapati and F. N. Najm, "Pre-Layout Estimation of Individual Wire Lengths," in Workshop on System Level Interconnect Prediction, 2000, pp. 91--96. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. P. Zarkesh-Ha, J. A. Davis, and J. A. Meindl, "Prediction of Net-Length Distribution for Global Interconnects in a Heterogeneous System-On-a-Chip," IEEE Trans on VLSI Systems, vol. 8(6), pp. 649--659, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. Stroobandt, A Priori Wire Length Estimates for Digital Design. Kluwer Academic Publishers, 2001.Google ScholarGoogle Scholar
  6. J. Dambre, D. Stroobandt, and J. V. Campenhout, "Toward the Accurate Prediction of Placement Wirelength Distributions in VLSI Circuits," IEEE Transactions on VLSI Systems, vol. 12(4), pp. 339--348, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. T. Hamada, C.-K. Cheng, and P. M. Chau, "A Wire Length Estimation Technique Utilizing Neighborhood Density Equations," in Design Automation Conference, 1992, pp. 57--61. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. B. Hu and M. Marek-Sadowska, "Wire length prediction based clustering and its application in placement," in Design Automation Conference, Anaheim, CA, USA, 2003, pp. 800--5. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Cong and S. K. Lim, "Edge separability based circuit clustering with application to circuit partitioning," in Asia and South Pacific Design Automation Conference, Yokohama, Japan, 2000, pp. 429--34. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. A. B. Kahng and S. Reda, "Intrinsic shortest path length: A new, accurate a priori wirelength estimator," in IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, San Jose, CA, United States, 2005, pp. 173--180. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. B. Landman and R. Russo, "On a Pin versus Block Relationship for Partitions of Logical Graphs," IEEE Transactions on Computers, vol. 20(C), pp. 793--813, 1971. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. C. E. Cheng, "RISA: Accurate and Efficient Placement Routability Modeling," in International Conference on Computer Aided Design, 1994, pp. 690--695. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. W. Gosti, S. P. Khatri, and A. L. Sangiovanni-Vincentelli, "Addressing the Timing Closure Problem by Intgerating Logic Optimization and Placement," in International Conference on Computer Aided Design, 2001, pp. 224--231. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Kahng and X. Xu, "Accurate Pseudo-Constructive Wirelength and Congestion Estimation," in Workshop on System Level Interconnect Prediction, 2003, pp. 61--68. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Westra, C. Bartel, and P. Groeneveld, "Probabilistic Congestion Prediction," in International Symposium on Physical Design, 2004, pp. 204--209. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. P. Kudva, A. Sullivan, and W. Dougherty, "Metrics for Structural Logic Synthesis," in International Conference on Computer Aided Design, 2002, pp. 551--556. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. A. Abou-Seido, B. Nowak, and C. Chu, "Fitted Elmore Delay: A Simple and Accurate Interconnect Delay Model," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 12, no. 7, pp. 691--696, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. C.-K. Cheng, A. Kahng, L. Bao, and D. Stroobandt, "Toward better wireload models in the presence of obstacles," in Asia and South Pacific Design Automation Conference, 2001, pp. 527--32. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Fast, accurate a priori routing delay estimation

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      SLIP '10: Proceedings of the 12th ACM/IEEE international workshop on System level interconnect prediction
      June 2010
      106 pages
      ISBN:9781450300377
      DOI:10.1145/1811100

      Copyright © 2010 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 13 June 2010

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate6of8submissions,75%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader