skip to main content
10.1145/1815961.1815980acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Use ECP, not ECC, for hard failures in resistive memories

Published:19 June 2010Publication History

ABSTRACT

As leakage and other charge storage limitations begin to impair the scalability of DRAM, non-volatile resistive memories are being developed as a potential replacement. Unfortunately, current error correction techniques are poorly suited to this emerging class of memory technologies. Unlike DRAM, PCM and other resistive memories have wear lifetimes, measured in writes, that are sufficiently short to make cell failures common during a system's lifetime. However, resistive memories are much less susceptible to transient faults than DRAM. The Hamming-based ECC codes used in DRAM are designed to handle transient faults with no effective lifetime limits, but ECC codes applied to resistive memories would wear out faster than the cells they are designed to repair. This paper evaluates Error-Correcting Pointers (ECP), a new approach to error correction optimized for memories in which errors are the result of permanent cell failures that occur, and are immediately detectable, at write time. ECP corrects errors by permanently encoding the locations of failed cells into a table and assigning cells to replace them. ECP provides longer lifetimes than previously proposed solutions with equivalent overhead. What's more, as the level of variance in cell lifetimes increases -- a likely consequence of further scalaing -- ECP's margin of improvement over existing schemes increases.

References

  1. Emerging research devices. In International Technology Roadmap for Semiconductors, 2007.Google ScholarGoogle Scholar
  2. S. J. Ahn, Y. J. Song, C. W. Jeong, J. M. Shin, Y. Fai, Y. N. Hwang, S. H. Lee, K. C. Ryoo, S. T. Lee, J. H. Park, H. Horii, Y. H. Ha, J. H. Yi, B. J. Kuh, G. H. Koh, G. T. Jeong, H. S. Jeong, K. Kim, and B. I. Ryu. Highly manufacturable high density phase change memory of 64Mb and beyond. In International Electron Devices Meeting, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  3. G. Atwood and R. Bez. Current status of chalcogenide phase change memory. In Device Research Conference, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  4. F. Bedeschi, R. Fackenthal, C. Resta, E. M. Donze, M. Jagasivamani, E. C. Buda, F. Pellizzer, D. W. Chow, A. Cabrini, G. Calvi, R. Faravelli, A. Fantini, G. Torelli, D. Mills, R. Gastaldi, and G. Casagrande. A multi-level-cell bipolar-selected phase-change memory. In International Solid-State Circuits Conference, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  5. A. J. Bhavnagarwala, X. Tang, and J. D. Meindl. The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE Journal of Solid-State Circuits, 36(4), Apr. 2001.Google ScholarGoogle ScholarCross RefCross Ref
  6. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De. Parameter variation and impact on circuits and microarchitecture. In Proceedings of 40th Design Automation Conference, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. G. Dhiman, R. Ayoub, and T. Rosing. PDRAM: A hybrid PRAM and DRAM main memory system. In Proceedings of 47th Design Automation Conference, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. R. Freitas and W. Wickle. Storage-class memory: The next storage system technology. IBM Journal of Research and Development, 52(4/5):439--447, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Hamming. Error detecting and error correcting codes. Bell System Technical Journal, 29(2), April 1950.Google ScholarGoogle ScholarCross RefCross Ref
  10. H. Horii, J. H. Yi, J. H. Park, Y. H. Ha, I. H. Baek, S. O. Park, Y. N. Hwang, S. H. Lee, Y. T. Kim, K. H. Lee, U.-I. Chung, and J. T. Moon. A novel cell technology using N-doped GeSbTe films for phase change RAM. In Symposium on VLSI Technology, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  11. E. Ipek, J. Condit, E. Nightingale, D. Burger, and T. Moscibroda. Dynamically replicated memory: Building resilient systems from unreliable nanoscale memories. In To appear at The Fifteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2010), Mar. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. Kim. Technology for sub-50nm DRAM and NAND flash manufacturing. In International Electron Devices Meeting, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  13. B. Lee, E. Ipek, O. Mutlu, and D. Burger. Architecting phase-change memory as a scalable DRAM alternative. In International Symposium on Computer Architecture, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. K.-J. Lee, B.-H. Cho, W.-Y. Cho, S. Kang, B.-G. Choi, H.-R. Oh, C.-S. Lee, H.-J. Kim, J.-M. Park, Q. Wang, M.-H. Park, Y.-H. Ro, J.-Y. Choi, K.-S. Kim, Y.-R. Kim, I.-C. Shin, K.-W. Lim, H.-K. Cho, C.-H. Choi, W.-R. Chung, D.-E. Kim, Y.-J. Yoon, K.-S. Yi, G.-T. Jeong, H.-S. Jeong, C.-K. Kwak, C.-H. Kim, and K. Kim. A 90nm 1.8V 512Mb diode-switch PRAM with 266 MB/s read throughput. Journal of Solid-State Circuits, 43(1), January 2008.Google ScholarGoogle Scholar
  15. T. May and W. Woods. Alpha-particle-induced soft errors in dynamic memories. IEEE Transactions on Electronic Devices, 26(2):2--9, 1979.Google ScholarGoogle ScholarCross RefCross Ref
  16. C. McNairy and R. Bhatia. Montecito: A dual-core, dual-thread itanium processor. IEEE Micro Magazine, 25(2):10--20, March/April 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. S. Mukherjee, J. Emer, and S. K. Reinhardt. The soft error problem: An architectural perspective. In Proceedings of 11th International Symposium on High-Performance Computer Architecture, pages 243--247, San Francisco, CA, USA, February 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Numonyx. The basics of phase change memory technology. In Numonyx White Paper, 2007.Google ScholarGoogle Scholar
  19. M. Orshansky, L. Milor, P. Chen, K. Keutzer, and C. Hu. Impact of spatial intrachip gate length variability on the performance of high-speed digital circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 21(5), May 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. F. Pellizzer, A. Benvenuti, B. Gleixner, Y. Kim, B. Johnson, M. Magistretti, T. Marangon, A. Pirovano, R. Bez, and G. Atwood. A 90nm phase change memory technology for stand-alone non-volatile memory applications. In Symposium on VLSI Circuits, 2006.Google ScholarGoogle Scholar
  21. M. K. Qureshi, M. Fraceschini, V. Srinivasan, L. Lastras, B. Abali, and J. Karidis. Enhancing lifetime and security of phase change memories via start-gap wear leveling. In International Symposium on Microarchitecture, December 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M. K. Qureshi, V. Srinivasan, and J. A. Rivers. Scalable high performance main memory system using phase-change memory technology. In International Symposium on Computer Architecture, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. S. Raoux, G. W. Burr, M. J. Breitwisch, C. T. Rettner, Y.-C. Chen, R. M. Shelby, M. Salinga, D. Krebs, S.-H. Chen, H.-L. Lung, and C. H. Lam. Phase-change random access memory: A scalable technology. IBM Journal of Research and Development, 52(4/5), Jul/Sept 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Samsung. Samsung introduces the next generation of nonvolatile memory - pram. In Samsung News Release, Sept. 2006.Google ScholarGoogle Scholar
  25. C. Wilkerson, H. Gao, A. R. Alameldeen, Z. Chishti, M. Khellah, and S.-L. Lu. Trading off cache capacity for reliability to enable low voltage operation. In The 35th Annual International Symposium on Computer Architecture, June 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. W. Zhang and T. Li. Characterizing and mitigating the impact of process variations on phase change memory systems. In International Symposium on Microarchitecture, December 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. W. Zhang and T. Li. Exploring phase change memory and 3d die-stacking for power/thermal friendly, fast and durable memory architectures. In International Conference on Parallel Architectures and Compilation Techniques, Sept. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. P. Zhouand, B. Zhao, J. Yang, and Y. Zhang. A durable and energy efficient main memory using phase change memory technology. In International Symposium on Computer Architecture, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. J. F. Ziegler and G. R. Srinivasan. Terrestrial cosmic rays and soft errors. IBM Journal of Research and Development, 40(1), 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Use ECP, not ECC, for hard failures in resistive memories

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISCA '10: Proceedings of the 37th annual international symposium on Computer architecture
        June 2010
        520 pages
        ISBN:9781450300537
        DOI:10.1145/1815961
        • cover image ACM SIGARCH Computer Architecture News
          ACM SIGARCH Computer Architecture News  Volume 38, Issue 3
          ISCA '10
          June 2010
          508 pages
          ISSN:0163-5964
          DOI:10.1145/1816038
          Issue’s Table of Contents

        Copyright © 2010 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 19 June 2010

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate543of3,203submissions,17%

        Upcoming Conference

        ISCA '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader