ABSTRACT
Power and thermal distribution are critical issues in chip multiprocessors (CMPs). Most previous studies focus on cores and on-chip memory subsystems and discuss how to reduce their power and control thermal distribution by using dynamic voltage/frequency scaling. However, the on-chip interconnection network, or network-on-chip (NoC), is also an important source of power consumption and heat generation. Particularly, the traffic flowing through the NoC affects directly its power and thermal distribution. Unfortunately, very few works discuss the dynamism of NoC. A key technique for NoC management is to capture its traffic patterns and predict future behaviors. In this paper, we propose a table-driven predictor called Network Traffic Prediction Table (NTPT) for recording and predicting traffic in NoC. The most unique feature of NTPT is its ability to predict end-to-end traffic, rather than switch-to-switch traffic. Thus, more application behaviors can be captured and monitored. Evaluations on Tilera's TILE64 show that NTPT has very high prediction accuracy. Analyses also show that it incurs a low area overhead and is very feasible.
- S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. MacKay, M. Reif, L. Bao, J. Brown, M. Mattina, C.-C. Miao, C. Ramey, D. Wentzlaff, W. Anderson, E. Berger, N. Fairbanks, D. Khan, F. Montenegro, J. Stickney, and J. Zook. Tile64 -processor: A 64-core soc with mesh interconnect. In Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International, pages 88--598, Feb. 2008.Google ScholarCross Ref
- Y. S.-C. Huang, K. C.-K. Chou, and C.-T. King. Ntpt: On the end-to-end traffic prediction in the on-chip networks. Technical report, 2010.Google Scholar
- C. Isci, G. Contreras, and M. Martonosi. Live, runtime phase monitoring and prediction on real systems with application to dynamic power management. In MICRO 39: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, pages 359--370, Washington, DC, USA, 2006. IEEE Computer Society. Google ScholarDigital Library
- A. B. Kahng, B. Li, L.-S. Peh, and K. Samadi. Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In Proc. DATE '09. Design, Automation. Test in Europe Conference. Exhibition, pages 423--428, Apr. 20--24, 2009. Google ScholarDigital Library
- K. J. Nesbit and J. E. Smith. Data cache prefetching using a global history buffer. In Proc. 10th International Symposium on HPCA-10 High Performance Computer Architecture, page 96, Feb. 14--18, 2004. Google ScholarDigital Library
- U. Y. Ogras and R. Marculescu. Prediction-based flow control for network-on-chip traffic. In Proc. 43rd ACM/IEEE Design Automation Conference, pages 839--844, 2006. Google ScholarDigital Library
- L. Shang, L.-S. Peh, and N. K. Jha. Dynamic voltage scaling with links for power optimization of interconnection networks. In Proc. Ninth International Symposium on High-Performance Computer Architecture HPCA-9 2003, pages 91--102, Feb. 8--12, 2003. Google ScholarDigital Library
- L. Shang, L.-S. Peh, A. Kumar, and N. K. Jha. Temperature-aware on-chip networks. 26(1):130--139, Jan. 2006. Google ScholarDigital Library
- S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The splash-2 programs: characterization and methodological considerations. In ISCA '95: Proceedings of the 22nd annual international symposium on Computer architecture, pages 24--36, New York, NY, USA, 1995. ACM. Google ScholarDigital Library
- T.-Y. Yeh and Y. N. Patt. Alternative implementations of two-level adaptive branch prediction. In Proc. 19th Annual International Symposium on Computer Architecture, pages 124--134, May 1992. Google ScholarDigital Library
Index Terms
- NTPT: on the end-to-end traffic prediction in the on-chip networks
Recommendations
Application-driven end-to-end traffic predictions for low power NoC design
As chip multiprocessors keep increasing the number of cores on the chip, the network-on-chip (NoC) technology is becoming essential for interconnecting the cores. While NoCs result in noticeable performance boost over conventional bus systems, they ...
Fast and Cycle-Accurate Emulation of Large-Scale Networks-on-Chip Using a Single FPGA
Modeling and simulation/emulation play a major role in research and development of novel Networks-on-Chip (NoCs). However, conventional software simulators are so slow that studying NoCs for emerging many-core systems with hundreds to thousands of cores ...
Floodgate: application-driven flow control in network-on-chip for many-core architectures
NoCArc '11: Proceedings of the 4th International Workshop on Network on Chip ArchitecturesWith the prevalence of multi- and many-core architecture, network-on-chip (NoC) is becoming the main paradigm for on-chip interconnection. However, the performance of NoCs can be degraded significantly if the network flow is not controlled properly. ...
Comments