skip to main content
10.1145/1837274.1837418acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Thermal aware task sequencing on embedded processors

Published:13 June 2010Publication History

ABSTRACT

We seek to maximize the throughput of a periodic application by obtaining an optimized task sequence and dynamic voltage/frequecy scaling schedules subject to a peak temperature constraint. We first derive an optimal initial temperature that can generate optimum solutions. We next present optimal solutions for several subproblems. Finally, we propose novel algorithms for the general instances of the problem. Experimental results show that our techniques out perform existing approaches in both design quality and solution times.

References

  1. Mediabench at. http://euler.slu.edu/fritts/mediabench/.Google ScholarGoogle Scholar
  2. Simplescalar tool set at. http://www.simplescalar.com.Google ScholarGoogle Scholar
  3. Spec cpu95 and cpu2000 benchmarks. http://www.spec.org/.Google ScholarGoogle Scholar
  4. N. Bansal, T. Kimbrel, and K. Pruhs. Speed scaling to manage energy and temperature. Journal of the ACM, 54(1):1--39, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. Brooks and M. Martonosi. Dynamic thermal management for high-performance microprocessors. Proc. of HPCA, pages 171--182, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of ISCA, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. T. Chantem, R. P. Dick, and X. S. Hu. Temperature-aware scheduling and assignment for hard real-time applications on mpsocs. Proceedings of DATE, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Chen, C. Hung, and T. Kuo. On the minimization of the instantaneous temperature for periodic real-time tasks. In Proceedings of RTAS, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Harvey and A. Odar. Liquid cooling facilitates tomorrow's embedded systems. Military embedded systems, 3(6), Nov/Dec 2007.Google ScholarGoogle Scholar
  10. R. Jayaseelan and T. Mitra. Temperature aware task sequencing and voltage scaling. In Proceedings of ICCAD, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Y. Liu, R. Dick, L. Shang, and H. Yang. Accurate temperature-dependent integrated circuit leakage power estimation is easy. In Proceedings of DATE, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. G. Quan, Y. Zhang, W. Wiles, and P. Pei. Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint. In Proceedings of CODES+ISSS, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. Proceedings of ISCA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. S. Zhang and K. Chatha. Approximation algorithm for the temperature-aware scheduling problem. In Proceedings of ICCAD, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Thermal aware task sequencing on embedded processors

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          DAC '10: Proceedings of the 47th Design Automation Conference
          June 2010
          1036 pages
          ISBN:9781450300025
          DOI:10.1145/1837274

          Copyright © 2010 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 13 June 2010

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate1,770of5,499submissions,32%

          Upcoming Conference

          DAC '24
          61st ACM/IEEE Design Automation Conference
          June 23 - 27, 2024
          San Francisco , CA , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader