skip to main content
10.1145/1837274.1837511acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

On-die power grids: the missing link

Published:13 June 2010Publication History

ABSTRACT

Power grids with die-scale dimensions operate in a transient manner that is difficult to predict compared to larger power grids. Given a single excitation and a detailed model one can come to understand the dynamic effects occurring inside the die in terms of localized voltage droop scenarios. However, a major portion of understanding on-die power grids has to do with modeling the current stimulus pre-silicon for design purposes as well as generating a set of activities (via instructions) post-silicon in order to excite the worst case voltage droop. Any chip, especially a microprocessor, contains so many potential state transitions that it is not possible to simulate or enumerate all of them. A spectral-based learning and optimization method can alleviate this problem pre-silicon, while a micro-architectural based test generation scheme can help alleviate the problem post silicon.

References

  1. Dharchoudhury A, Panda R, Blaauw D, Vaidyanathan R, Tutuianu B and Bearden D, "Design and Analysis of Power Distribution Networks in PowerPC® microprocessors", in Proc. IEEE/ACM DAC, 19985, pp. 738--743. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Chen H, Ling D, "Power Supply Noise Analysis Methodology for Deep-submicron VLSI Chip Design," in Proc. IEEE/ACM DAC, 1997, pp. 638--643. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Mezhiba A. V and Friedman E. G, "Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits," IEEE Trans. On VLSI Systems, Vol. 12, No. 11, pp. 1148--1155, November 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Waizman A and Chee-Yee Chung, "Resonant free power network design using extended adaptive voltage positioning (EAVP) methodology", IEEE Transactions on Advanced Packaging, Vol. 24, Issue 3, Aug. 2001, pp. 236--244.Google ScholarGoogle ScholarCross RefCross Ref
  5. Chiprout E, "Fast flip-chip power grid analysis via locality and grid shells", IEEE/ACM ICCAD, 2004, pp. 485--488. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Qian H., Nassif S. R., Sapatnekar S. S., "Power Grid Analysis using Random Walks", IEEE Trans. on CAD, Volume 24, Issue 8, Aug. 2005, pp. 1204--1224. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Pant, S. and Chiprout, E., "Power grid physics and implications for CAD", Proceedings of the 43rd ACM/IEEE Design Automation Conference, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Bastani, P., Killpack, K., Wang, L.-C. and Chiprout, E., "Speedpath prediction based on learning from a small set of examples", Proceedings of the 45th ACM/IEEE Design Automation Conference, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Ketkar M. and Chiprout E., "A microarchitecture-based framework for pre- and post-silicon power delivery analysis", IEEE/ACM International Symposium on Microarchitecture, Dec. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Ferzli, I. A., Chiprout, E. and Najm, F. N., "Verification and Codesign of the Package and Die Power Delivery System Using Wavelets", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Volume: 29, 2010, Pages: 92--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. V. Drabkin, C. Houghton, I. Kantorovich, and M. Tsuk, "Aperiodic resonant excitation of microprocessor power distribution systems and the reverse pulse technique," in Proceedings of the IEEE Topical Meet. Elect. Performance Electron. Packag., 2002, pp. 175--178.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. On-die power grids: the missing link

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '10: Proceedings of the 47th Design Automation Conference
      June 2010
      1036 pages
      ISBN:9781450300025
      DOI:10.1145/1837274

      Copyright © 2010 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 13 June 2010

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader