skip to main content
10.1145/1851476.1851517acmconferencesArticle/Chapter ViewAbstractPublication PageshpdcConference Proceedingsconference-collections
research-article

SoftPower: fine-grain power estimations using performance counters

Published:21 June 2010Publication History

ABSTRACT

We present and evaluate a surrogate model, based on hardware performance counter measurements, to estimate computer system power consumption. Power and energy are especially important in the design and operation of large data centers and of clusters used for scientific computing. Tradeoffs are made between performance and power consumption, this needs to be dynamic because activity varies over time. While it is possible to instrument systems for fine-grain power monitoring, such instrumentation is costly and not commonly available. Furthermore, the latency and sampling periods of hardware power monitors can be large compared to time scales at which workloads can change and dynamic power controls can operate. Given these limitations, we argue that surrogate models of the kind we present here can provide low-cost and accurate estimates of power consumption to drive on-line dynamic control mechanisms and for use in off-line tuning.

In this brief paper, we discuss a general approach to building system power estimation models based on hardware performance counters. Using this technique, we then present a model for an Intel Core i7 system that has an absolute estimation error of 5.32 percent (median) and acceptable data collection overheads on varying workloads, CPU power states (frequency and voltage), and number of active cores. Since this method is based on event sampling of hardware counters, one can make a tradeoff between estimation accuracy and data-collection overhead.

References

  1. }}D. Bailey, J. Barton, T. Lasinski, and H. Simon. The NAS parallel benchmarks. RNR-91-002, NASA Ames Research Center, August 1991.Google ScholarGoogle Scholar
  2. }}D. Bedard, M. Lim, R. Fowler, and A. Porterfield. Powermon: Fine-grained and integrated power monitoring for commodity computer systems. In IEEE SoutheastCon conference. IEEE, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  3. }}K. Cameron, R. Ge, and X. Feng. High-performance, power-aware distributed computing for scientific applications. Computer, 38(11):40--47, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. }}K. Cameron, R. Ge, and X. Feng. Designing Computational Clusters for Performance and Power. Advances in Computers, 69(2), May 2007.Google ScholarGoogle Scholar
  5. }}M. Curtis-Maury, A. Shah, F. Blagojevic, D. Nikolopoulos, B. de Supinski, and M. Schulz. Prediction models for multi-dimensional power-performance optimization on many cores. In PACT '08: Proceedings of the 17th international conference on Parallel architectures and compilation techniques, Toronto, Ontario, Canada, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. }}N. R. Draper and H. Smith. Applied Regression Analysis. Wiley-Interscience, 1998.Google ScholarGoogle ScholarCross RefCross Ref
  7. }}X. Fan, W. Weber, and L. A. Barroso. Power provisioning for a warehouse-sized computer. In ISCA '07: Proceedings of the 34th annual international symposium on Computer architecture, San Diego, California, USA, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. }}W. Feng, X. Feng, and R. Ge. Green Supercomputing Comes of Age. IT Professional, 10(1), January 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. }}Peter J Huber. Robust statistics, 1981.Google ScholarGoogle Scholar
  10. }}Intel. Intel turbo boost technology in intel core microarchitecture (Nehalem) based processors. 2008.Google ScholarGoogle Scholar
  11. }}B. Lee and D. Brooks. Accurate and efficient regression modeling for microarchitectural performance and power prediction. SIGOPS Oper. Syst. Rev., 40(5):185--194, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. }}M. Y. Lim. Improving Power and Performance Efficiency in Parallel and Distributed Computing Systems. PhD thesis, North Carolina State University, 2009.Google ScholarGoogle Scholar
  13. }}M. Y. Lim, V. Freeh, and D. Lowenthal. Adaptive, transparent frequency and voltage scaling of communication phases in MPI programs. In SC '06: Proceedings of the 2006 ACM/IEEE conference on Supercomputing, Tampa, FL, USA, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. }}The R Project for Statistical Computing. http://www.r-project.org/.Google ScholarGoogle Scholar
  15. }}Perfmon2: the hardware-based performance monitoring interface. http://perfmon2.sourceforge.net/.Google ScholarGoogle Scholar
  16. }}B. Rountree, D. Lownenthal, B. de Supinski, M. Schulz, V. Freeh, and T. Bletsch. Adagio: making DVS practical for complex HPC applications. In ICS '09: Proceedings of the 23rd international conference on Supercomputing, Yorktown Heights, NY, USA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. }}K. Singh, M. Bhadauria, and S. A. McKee. Real time power estimation and thread scheduling via performance counters. SIGARCH Comput. Archit. News, 37(2):46--55, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. }}C. Spearman. The proof and measurement of association between two things. Amer. J. Psychol, 15, 1974.Google ScholarGoogle Scholar
  19. }}Z. Wang, C. McCarthy, X. Zhu, P. Ranganathan, and V. Talwar. Feedback control algorithms for power management of servers. Technical report, Hewlett-Packard Laboratories, 2008.Google ScholarGoogle Scholar

Index Terms

  1. SoftPower: fine-grain power estimations using performance counters

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          HPDC '10: Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
          June 2010
          911 pages
          ISBN:9781605589428
          DOI:10.1145/1851476

          Copyright © 2010 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 21 June 2010

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate166of966submissions,17%

          Upcoming Conference

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader