skip to main content
research-article

Overhead-aware energy optimization for real-time streaming applications on multiprocessor System-on-Chip

Authors Info & Claims
Published:07 April 2011Publication History
Skip Abstract Section

Abstract

In this article, we focus on solving the energy optimization problem for real-time streaming applications on multiprocessor System-on-Chip by combining task-level coarse-grained software pipelining with DVS (Dynamic Voltage Scaling) and DPM (Dynamic Power Management) considering transition overhead, inter-core communication and discrete voltage levels. We propose a two-phase approach to solve the problem. In the first phase, we propose a coarse-grained task parallelization algorithm called RDAG to transform a periodic dependent task graph into a set of independent tasks by exploiting the periodic feature of streaming applications. In the second phase, we propose a scheduling algorithm, GeneS, to optimize energy consumption. GeneS is a genetic algorithm that can search and find the best schedule within the solution space generated by gene evolution. We conduct experiments with a set of benchmarks from E3S and TGFF. The experimental results show that our approach can achieve a 24.4% reduction in energy consumption on average compared with the previous work.

References

  1. Acharya, S. and Mahapatra, R. 2008. A dynamic slack management technique for real-time distributed embedded systems. IEEE Trans. Comput. 57, 2, 215--230. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Alba, E. and Troya, J. M. 1999. A survey of parallel distributed genetic algorithms. Complex. 4, 4, 31--52. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. AlEnawy, T. A. and Aydin, H. 2005. Energy-aware task allocation for rate monotonic scheduling. In Proceedings of the 11th IEEE Real Time on Embedded Technology and Applications Symposium (RTAS'05). IEEE Computer Society Press, Los Alamitos, CA, 213--223. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. AMD. 2001. Mobile AMD Athlon 4 processor model 6 CPGA data sheet. Advanced Micro Devices, Tech, rep. 24319.Google ScholarGoogle Scholar
  5. Aydin, H., Devadas, V., and Zhu, D. 2006. System-level energy management for periodic real-time tasks. In Proceedings of the 27th IEEE International Real-Time Systems Symposium (RTSS'06). IEEE Computer Society Press, Los Alamitos, CA, 313--322. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Aydin, H., Melhem, R., Mossé, D., and Mejía-Alvarez, P. 2001. Determining optimal processor speeds for periodic real-time tasks with different power characteristics. In Proceedings of the 13th Euromicro Conference on Real-Time Systems (ECRTS'01). IEEE Computer Society Press, Los Alamitos, CA, 225--232. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Aydin, H. and Yang, Q. 2003. Energy-aware partitioning for multiprocessor real-time systems. In Proceedings of the 17th International Symposium on Parallel and Distributed Processing (IPDPS'03). IEEE Computer Society Press, Los Alamitos, CA, 113--121. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Bambha, N. K. and Bhattacharyya, S. S. 2000. A joint power/performance optimization algorithm for multiprocessor systems using a period graph construct. In Proceedings of the 13th International Symposium on System Synthesis (ISSS'00). IEEE Computer Society Press, Los Alamitos, CA, 91--97. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Bini, E., Buttazzo, G., and Lipari, G. 2005. Speed modulation in energy-aware real-time systems. In Proceedings of the 17th Euromicro Conference on Real-Time Systems (ECRTS'05). IEEE Computer Society Press, Los Alamitos, CA, 3--10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Burd, T. 2001. Energy-efficient processor system design. Ph.D. thesis, Department of Electrical Engineering and Computer Sciences, University of California, Berkeley.Google ScholarGoogle Scholar
  11. Chao, L.-F. and LaPaugh, A. 1993. Rotation scheduling: A loop pipelining algorithm. In Proceedings of the 30th International Design Automation Conference (DAC'93). ACM, New York, NY, 566--572. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Chao, L.-F. and Sha, E. H.-M. 1993. Static scheduling of uniform nested loops. In Proceedings of 7th International Parallel Processing Symposium. IEEE Computer Society Press, Los Alamitos, CA, 254--258.Google ScholarGoogle Scholar
  13. Chen, J.-J. and Kuo, T.-W. 2005. Energy-efficient scheduling of periodic real-time tasks over homogeneous multiprocessors. In Proceedings of the 2nd International Workshop on Power-Aware Real-Time Computing (PARC'05). IEEE Computer Society Press, Los Alamitos, CA, 30--35.Google ScholarGoogle Scholar
  14. Chen, J.-J., Kuo, T.-W., and Shih, C.-S. 2005. 1 + &epsis; approximation clock rate assignment for periodic real-time tasks on a voltage-scaling processor. In Proceedings of the 5th ACM International Conference on Embedded Software (EMSOFT'05). ACM, New York, NY, 247--250. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Dick, R., Rhodes, D., and Wolf, W. 1998. TGFF: Task graphs for free. In Proceedings of the 6th International Workshop on Hardware/Software Codesign (CODES'98). ACM, New York, NY, 97--101. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. El-Rewini, H., Ali, H. H., and Lewis, T. 1995. Task scheduling in multiprocessing systems. Computer 28, 12, 27--37. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Gruian, F. and Kuchcinski, K. 2001. Lenes: Task scheduling for low-energy systems using variable supply voltage processors. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'01). ACM, New York, NY, 449--455. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Hu, J. and Marculescu, R. 2004. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'04). IEEE Computer Society Press, Los Alamitos, CA, 234--239. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Hua, S. and Qu, G. 2005. Voltage setup problem for embedded systems with multiple voltages. IEEE Trans. VLSI Syst. 13, 7, 869--872. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Hung, C.-M., Chen, J.-J., and Kuo, T.-W. 2006. Energy-efficient real-time task scheduling for a dvs system with a non-dvs processing element. In Proceedings of the 27th IEEE International Real-Time Systems Symposium (RTSS'06). IEEE Computer Society Press, Los Alamitos, CA, 303--312. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Jejurikar, R. and Gupta, R. 2004. Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED'04). ACM, New York, NY, 78--81. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Jha, N. K. 2001. Low power system scheduling and synthesis. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'01). IEEE Press, Los Alamitos, CA, 259--263. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Rabaey, J. M., Chandrakasan, A., and Nikolic, B. 2002. Digital Integrated Circuits 2nd Ed. Prentice Hall, Englewood Cliffs, NJ.Google ScholarGoogle Scholar
  24. Kianzad, V., Bhattacharyya, S. S., and Qu, G. 2005. Casper: An integrated energy-driven approach for task graph scheduling on distributed embedded systems. In Proceedings of the IEEE International Conference on Application-Specific Systems, Architecture Processors (ASAP'05). IEEE Computer Society Press, Los Alamitos, CA, 191--197. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Kim, N. S., Kgil, T., Bowman, K., De, V., and Mudge, T. 2005. Total power-optimal pipelining and parallel processing under process variations in nanometer technology. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'05). IEEE Computer Society Press, Los Alamitos, CA, 535--540. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Landskov, D., Davidson, S., Shriver, B., and Mallett, P. W. 1980. Local microcode compaction techniques. ACM Comput. Surv. 12, 3, 261--294. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Leiserson, C. E. and Saxe, J. B. 1991. Retiming synchronous circuitry. Algorithmica 6, 5--35.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Li, J. and Martínez, J. F. 2005. Power-performance considerations of parallel computing on chip multiprocessors. ACM Trans. Archit. Code Optim. 2, 4, 397--422. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Liu, H., Shao, Z., Wang, M., and Chen, P. 2008. Overhead-aware system-level joint energy and performance optimization for streaming applications on multiprocessor systems-on-chip. In Proceedings of the Euromicro Conference on Real-Time Systems (ECRTS'08). IEEE Computer Society Press, Los Alamitos, CA, 92--101. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Liu, H., Shao, Z., Wang, M., Du, J., Xue, C. J., and Jia, Z. 2009. Combining coarse-grained software pipelining with dvs for scheduling real-time periodic dependent tasks on multi-core embedded systems. J. Signal Process. Syst. 57, 2, 249--262. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Luo, J. and Jha, N. K. 2000. Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'00). IEEE Press, Los Alamitos, CA, 357--364. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Luo, J. and Jha, N. K. 2007. Power-efficient scheduling for heterogeneous distributed real-time embedded systems. IEEE Trans. Comput. Aid. Des. Integr. Circ. Syst. 26, 6, 1161--1170. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Martin, S. M., Flautner, K., Mudge, T., and Blaauw, D. 2002. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'02). IEEE Computer Society Press, Los Alamitos, CA, 721--725. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Mejia-Alvarez, P., Levner, E., and Mossé, D. 2004. Adaptive scheduling server for power-aware real-time tasks. ACM Trans. Embed. Comput. Syst. 3, 2, 284--306. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Mitchell, M. 1996. An Introduction to Genetic Algorithms. MIT Press, Cambridge, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Mochocki, B., Hu, X., and Quan, G. 2004. A unified approach to variable voltage scheduling for nonideal DVS processors. IEEE Trans. Comput. Aid. Des. Integr. Circ. Syst. 23, 9, 1370--1377. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Niu, L. and Quan, G. 2006. System-wide dynamic power management for portable multimedia devices. In Proceedings of the 8th IEEE International Symposium on Multimedia (ISM'06). IEEE Computer Society Press, Los Alamitos, CA, 97--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Pandey, V., Jiang, W., Zhou, Y., and Bianchini, R. 2006. Dma-aware memory energy management. In Proceedings of the 12th International Symposium on High-Performance Computer Architecture (HPCA'06). IEEE Computer Society Press, Los Alamitos, CA, 133--144.Google ScholarGoogle Scholar
  39. Passos, N. L. and Sha, E. H.-M. 1996. Achieving full parallelism using multidimensional retiming. IEEE Trans. Parall. Distrib. Syst. 7, 11, 1150--1163. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Quan, G. and Hu, X. 2002. Minimum energy fixed-priority scheduling for variable voltage processor. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'02). IEEE Computer Society Press, Los Alamitos, CA, 782--787. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Saewong, S. and Rajkumar, R. R. 2003. Practical voltage-scaling for fixed-priority RT-systems. In Proceedings of the 9th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'03). IEEE Computer Society Press, Los Alamitos, CA, 106--114. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Shao, Z., Wang, M., Chen, Y., Xue, C., Qiu, M., Yang, L. T., and Sha, E. H. M. 2007. Real-time dynamic voltage loop scheduling for multi-core embedded systems. IEEE Trans. Circ. Syst. II 54, 5, 445--449.Google ScholarGoogle Scholar
  43. Shin, D., Kim, J., and Lee, S. 2001. Low-energy intra-task voltage scheduling using static timing analysis. In Proceedings of the 38th Annual Design Automation Conference (DAC'01). ACM, New York, NY, 438--443. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Vallerio, K. S. and Jha, N. K. 2003. Task graph extraction for embedded system synthesis. In Proceedings of the 16th International Conference on VLSI Design (VLSID'03). IEEE Computer Society Press, Los Alamitos, CA, 480--486. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Varatkar, G. and Marculescu, R. 2003. Communication-aware task scheduling and voltage selection for total systems energy minimization. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'03). IEEE Computer Society Press, Los Alamitos, CA, 510--517. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Wang, Y., Liu, D., Wang, M., Qin, Z., and Shao, Z. 2010. Optimal task scheduling by removing inter-core communication overhead for streaming applications on MPSoC. In Proceedings of the 16th IEEE Real Time and Embedded Technology and Applications Symposium (RTAS'10). IEEE Computer Society Press, Los Alamitos, CA, 195--204. Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. Wiegand, T., Sullivan, G. J., Bjontegaard, G., and Luthra, A. 2003. Overview of the H.264/AVC video coding standard. IEEE Trans. Circ. Syst. Video Technol. 13, 7, 560--576. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. Xu, R., Melhem, R., and Mosse, D. 2007. Energy-aware scheduling for streaming applications on chip multiprocessors. In Proceedings of the 28th IEEE International Real-Time Systems Symposium (RTSS'07). IEEE Computer Society Press, Los Alamitos, CA, 25--38. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. Yu, Y. and Prasanna, V. 2002. Power-aware resource allocation for independent tasks in heterogeneous real-time systems. In Proceedings of the 9th International Conference on Parallel and Distributed Systems (ICPADS'02). IEEE Computer Society Press, Los Alamitos, CA, 341--348. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. Zhang, Y., Hu, X. S., and Chen, D. Z. 2002. Task scheduling and voltage selection for energy minimization. In Proceedings of the 39th Annual Design Automation Conference (DAC'02). ACM, New York, NY, 183--188. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. Zhong, X. and Xu, C.-Z. 2007. Frequency-aware energy optimization for real-time periodic and aperiodic tasks. In Proceedings of the ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07). ACM, New York, NY, 21--30. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Overhead-aware energy optimization for real-time streaming applications on multiprocessor System-on-Chip

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in

            Full Access

            • Published in

              cover image ACM Transactions on Design Automation of Electronic Systems
              ACM Transactions on Design Automation of Electronic Systems  Volume 16, Issue 2
              March 2011
              180 pages
              ISSN:1084-4309
              EISSN:1557-7309
              DOI:10.1145/1929943
              Issue’s Table of Contents

              Copyright © 2011 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 7 April 2011
              • Accepted: 1 September 2010
              • Revised: 1 January 2010
              • Received: 1 July 2009
              Published in todaes Volume 16, Issue 2

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article
              • Research
              • Refereed

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader