skip to main content
10.1145/1930037.1930045acmotherconferencesArticle/Chapter ViewAbstractPublication PagesinaConference Proceedingsconference-collections
research-article

Mesochronous NoC technology for power-efficient GALS MPSoCs

Published:23 January 2011Publication History

ABSTRACT

MPSoCs are today frequently designed as the composition of multiple voltage/frequency islands, thus calling for a GALS clocking style. In this context, the on-chip interconnection network can be either inferred as a single and independent clock domain or it can be distributed among core's domains. This paper targets the former scenario, since it results in the homogeneous speed of the NoC switching elements. From a physical design viewpoint, the main issues lie however in the chip-wide extension of the network domain and in the growing uncertainties affecting nanoscale silicon technologies. This paper proves that partitioning the network into mesochronous domains and merging synchronizers with NoC building blocks, two main advantages can be achieved. First, it is possible to evolve synchronous networks to mesochronous ones with marginal performance and area overhead. Second, the mesochronous NoC exposes more degrees of freedom for power optimization.

References

  1. S. Stergiou, F. Angiolini, S. Carta, L. Raffo, D. Bertozzi, G. De Micheli, "xpipes Lite: a Synthesis Oriented Design Library for Networks on Chips", Proc. of DATE, pp. 1188--1193, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Kakoee, M. R. and Loi, I. and Benini, L., "A New Physical Routing Approach for Robust Bundled Signaling on NoC Links", Proc. of GLSVLSI, pp. 3--8, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Ludovici and A. Strano and G. N. Gaydadjiev and L. Benini and D. Bertozzi, "Design Space Exploration of a Mesochronous Link for Cost-Effective and Flexible GALS NOCs", Proc. of DATE, pp. 679--684, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. Ludovici, A. Strano, D. Bertozzi, "Architecture design principles for the integration of synchronization interfaces into Network-on-Chip switches", Proc. of NoCArc, pp. 31--36, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. Ludovici, A. Strano, D. Bertozzi, L. Benini, G. N. Gaydadjiev, "Comparing tightly and loosely coupled mesochronous synchronizers in a NoC switch architecture", Proc. of NOCS, pp. 244--249, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. A. Strano and D. Ludovici and D. Bertozzi, "A Library of Dual-Clock FIFOs for Cost-Effective and Flexible MPSoCs Design", Proc. of SAMOS, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  7. T. N. K.Jain, "Asynchronous Bypass Channels: Improving Performance for Multi-Synchronous NoCs", Proc. of NOCS, pp. 51--58, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. F. Vitullo et al. "Low-Complexity Link Microarchitecture for Mesochronous Communication in Networks-on-Chip", IEEE Trans, on Computers, Vol. 57, issue 9, pp. 1196--1201, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. D. Mangano, R. Locatelli, A. Scandurra, C. Pistritto, M. Coppola, L. Fanucci, F. Vitullo, D. Zandri, "Skew Insensitive Physical Links for Network on Chip, 1st International Conference on Nano-Networks (NANO-NET 2006)", Proc of NANO-NET, 2006.Google ScholarGoogle Scholar
  10. I. M. Panades, F. Clermidy, P. Vivet, A. Greiner, "Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture", Proc. of NOCS, pp. 139--148, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. D. Wentzlaff et al., "On-Chip Interconnection Architecture of the Tile Processor", IEEE Micro, vol. 27, no. 5, pp. 15--31, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. D. A. IIitzky, J. D. Hoffman, A. Chun and B. P. Esparza, Architecture of the Scalable Communications Core's Network on Chip", IEEE Micro, vol. 27, Issue 5, pp. 62--74, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. F. Clermidy, R. Lemaire, X. Popon, D. Ktenas, Y. Thonnart, "An Open and Reconfigurable Platform for 4G Telecommunication: Concepts and Application", Proc of DSD, pp. 62--74, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. F. Clermidy, C. Bernard, R. Lemaire, J. Martin, I. Miro-Panades, Y. Thonnart, P. Vivet, N. Wehn, "A 477mW NoC-based Digital Baseband for MIMO 4G SDR", ISSCC'2010, pp. 278--279, 2010.Google ScholarGoogle Scholar
  15. Y. Thonnart, P. Vivet, F. Clermidy, "A Fully-Asynchronous Low-Power Framework for GALS NoC Integration", Proc. of DATE, pp. 33--38, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. Krstic et al., "Globally Asynchronous, Locally Synchronous Circuits: Overview and Outlook", IEEE Design and Test of Computers, vol. 24, no. 5, pp. 430--441, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Vangal et al.; "An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS", IEEE Journal of Solid-State Circuits, Vol. 43, Issue 1, pp. 29--41, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  18. E. Flamand, "Strategic Directions Towards Multicore Application Specific Computing", Proc. of DATE, pp. 1266, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. S. Borkar, "Design Perspectives on 22nm CMOS and Beyond", Proc. of DAC 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. R. Dobkin, V. Vishnyakov, E. Friedman, R. Ginosar, "An Asynchronous Router for Multiple Service Levels Networks on Chip, Proc. of ASYNC, pp. 44--53, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. T. Bjerregaard, J. Sparso, "A Router Architecture for Connection-Oriented Service Guarantees in the MANGO Clockless Network-on-Chip", Proc. of DATE, pp. 1226--1231, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Mesochronous NoC technology for power-efficient GALS MPSoCs

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Other conferences
              INA-OCMC '11: Proceedings of the Fifth International Workshop on Interconnection Network Architecture: On-Chip, Multi-Chip
              January 2011
              44 pages
              ISBN:9781450302722
              DOI:10.1145/1930037

              Copyright © 2011 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 23 January 2011

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article

              Acceptance Rates

              Overall Acceptance Rate12of27submissions,44%

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader