skip to main content
10.1145/2024724.2024861acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A low-energy computation platform for data-driven biomedical monitoring algorithms

Published:05 June 2011Publication History

ABSTRACT

A key challenge in closed-loop chronic biomedical systems is the ability to detect complex physiological states from patient signals within a constrained power budget. Data-driven machine-learning techniques are major enablers for the modeling and interpretation of such states. Their computational energy, however, scales with the complexity of the required models. In this paper, we propose a low-energy, biomedical computation platform optimized through the use of an accelerator for data-driven classification. The accelerator retains selective flexibility through hardware reconfiguration and exploits voltage scaling and parallelism to operate at a sub-threshold minimum-energy point. Using cardiac arrhythmia detection algorithms with patient data from the MIT-BIH database, classification is achieved in 2.96 μJ (at Vdd = 0.4 V), over four orders of magnitude smaller than that on a low-power general-purpose processor. The energy of feature extraction is 148 μJ while retaining flexibility for a range of possible biomarkers.

References

  1. I. S. Abu-Khater, A. Bellaouar, and M. I. Elmasry. Circuit techniques for CMOS low-power high-performance multipliers. IEEE J. Solid-State Circuits, 31(10):1535--1546, Oct. 1996.Google ScholarGoogle ScholarCross RefCross Ref
  2. A. L. Benabid. Deep brain stimulation for Parkinson's disease. Current Op. in Neurobiology, 13:696--706, Dec. 03.Google ScholarGoogle ScholarCross RefCross Ref
  3. A. Csavoy, G. Molnar, and T. Denison. Creating support circuits for the nervous system: Considerations for brain-machine interfacing. In Proc. Int. Symp. VLSI Circuits, pages 4--7, Jun. 2009.Google ScholarGoogle Scholar
  4. P. de Chazal, M. O'Dwyer, and R. B. Reilly. Automatic classification of heartbeats using ECG morphology and heartbeat interval features. IEEE Trans. Biomedical Engineering, 51(7):1196--1206, Jul. 2004.Google ScholarGoogle ScholarCross RefCross Ref
  5. E. Dishman. Inventing wellness systems for aging in place. IEEE Computer, 37(5):34--41, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Hau and E. Coiera. Learning qualitative models from physiological signals. In Proc. AAAI Symp. Artificial Intelligence in Medicine, pages 67--71, 1994.Google ScholarGoogle Scholar
  7. A. S. Jaffe, L. Babuin, and F. S. Apple. Biomarkers in acute cardiac disease: The present and the future. J. American College of Cardiology, 48:1--11, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  8. T. Jaochims. SVM-Light, support vector machine. http://svmlight/jaochims.org.Google ScholarGoogle Scholar
  9. F. M. Khan, M. G. Arnold, and W. M. Pottenger. Hardware-based support vector machine classification in logarithmic number systems. In Proc. IEEE Int. Symp. Circuits and Systems, pages 23--26, May 2005.Google ScholarGoogle ScholarCross RefCross Ref
  10. M. A. Lebedev and M. A. L. Nicolelis. Brain-machine interfaces: Past, present and future. Elsevier Trends in Neurosciences, 29(9):536--546, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  11. G. Meyfroidt, F. Guiza, J. Ramon, and M. Bruynooghe. Machine learning techniques to examine large patient databases. Best Practice & Research Clinical Anaesthesiology, 23(1):127--143, Mar. 2009.Google ScholarGoogle ScholarCross RefCross Ref
  12. Physionet. MIT-BIH Physionet database. http://www.physionet.org/physiobank/database.Google ScholarGoogle Scholar
  13. S. Cadambi et al. A massively parallel FPGA-based coprocessor for support vector machines. In Proc. Int. Symp. Field Programmable Custom Computing Machines, pages 115--122, Apr. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Shoeb, B. Bourgeois, S. T. Treves, S. C. Schachter, and J. Guttag. Impact of patient-specificity on seizure onset detection performance. In Proc. Int. Conf. IEEE EMBS, pages 4110--4114, Aug. 2007.Google ScholarGoogle ScholarCross RefCross Ref
  15. A. Shoeb, D. Carlson, E. Panken, and T. Denison. A micropower support vector machine based seizure detection architecture for embedded medical devices. In Proc. IEEE Int. Conf. EMBS, pages 4202--4205, 2005.Google ScholarGoogle Scholar
  16. A. Shoeb and J. Guttag. Application of machine learning to seizure detection. In Proc. Conf. Machine Learning, Jun. 2010.Google ScholarGoogle Scholar
  17. A. H. Shoeb. Application of Machine Learning to Epileptic Seizure Onset Detection and Treatment. Electrical and Medical Engineering, Massachusetts Institute of Technology, Boson, Massachusetts, Sep. 2009.Google ScholarGoogle Scholar
  18. V. Sze and A. P. Chandrakasan. A 0.4-V UWB baseband processor. In Proc. IEEE Int. Symp. Low Power Electronics and Design, pages 262--267, Aug. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Tensilica Inc. The Xtensa processor. http://www.tensilica.com.Google ScholarGoogle Scholar
  20. E. D. Ubeyli. ECG beats classification using multiclass support vector machines with error correcting output codes. DSP, 17(3):675--684, May 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. N. Verma, A. Shoeb, J. Guttag, and A. Chandrakasan. A micro-power EEG acquisition SoC with integrated seizure detection processor for continuous patient monitoring. In Proc. Symp. VLSI Circuits, pages 62--63, Jun. 2009.Google ScholarGoogle Scholar
  22. S. A. Vitale, P. W. Wyatt, N. Checka, J. Kedzierski, and C. L. Keast. FD-SOI process technology for subthreshold-operation ultralow-power electronics. Proc. IEEE, 98(2):333--342, Feb. 2010.Google ScholarGoogle ScholarCross RefCross Ref
  23. A. Wang and A. P. Chandrakasan. A 180-mV subthreshold FFT processor using a minimum energy design methodology. J. Solid-State Circuits, 40(1):310--319, Jan. 2005.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. A low-energy computation platform for data-driven biomedical monitoring algorithms

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '11: Proceedings of the 48th Design Automation Conference
        June 2011
        1055 pages
        ISBN:9781450306362
        DOI:10.1145/2024724

        Copyright © 2011 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 June 2011

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader