skip to main content
10.1145/2024724.2024950acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Run-time adaptive energy-aware motion and disparity estimation in multiview video coding

Authors Info & Claims
Published:05 June 2011Publication History

ABSTRACT

This paper presents a novel run-time adaptive energy-aware Motion and Disparity Estimation (ME, DE) architecture for Multiview Video Coding (MVC). It incorporates efficient memory access and data prefetching techniques for jointly reducing the on/off-chip memory energy consumption. A dynamically expanding search window is constructed at run time to reduce the off-chip memory accesses. Considering the multi-stage processing nature of advanced fast ME/DE schemes, a reduced-sized multi-bank on-chip memory is employed which can be power-gated depending upon the video properties. As a result, when tested for various video sequence, our approach provides a dynamic energy reduction of 82--96% for the off-chip memory and a leakage energy reduction of 57--75% for the on-chip memory compared to the Level-C and Level-C+ [7] prefetching techniques (which are the prominent data reuse and prefetching techniques in ME for video coding). The proposed ME/DE architecture is synthesized using a 65nm IBM low power technology. Compared to state-of-the-art MVC ME/DE hardware [14], our architecture provides 66% and 72% reduction in the area and power consumption, respectively. Moreover, our scheme achieves 30fps ME/DE 4-view HD1080p encoding with a power consumption of 74mW.

References

  1. P. Merkle et al., "Efficient Prediction Structures for Multiview Video Coding" IEEE TCSVT, vol. 17, no. 11, pp. 1461--1473, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. FinePix REAL 3D W3 | FujiFilm Global: http://www.fujifilm.com/products/3d/camera/finepix_real3dw3/.Google ScholarGoogle Scholar
  3. Lynx 3D SH-03C: http://www.sharp.co.jp/products/sh03c/index.htmlGoogle ScholarGoogle Scholar
  4. Joint Draft 8.0 on Multiview video coding, JVT-AB204, 2008.Google ScholarGoogle Scholar
  5. L. Shen et al., "View-Adaptive Motion Estimation and Disparity Estimation for Low Complexity Multiview Video Coding", IEEE TCSVT, vol. 20, no. 6, pp. 925--930, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H.-C. Chang, et al., "A Dynamic Quality-Adjustable H. 264 Video Encoder for Power-Aware Video Applications", IEEE TCSVT, vol. 19, no. 12, pp. 1739--1754, Dec. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. C.-Y. Chen et al., "Level C+ data reuse scheme for motion estimation with corresponding coding orders", IEEE TCSVT, vol. 16, no. 4, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S.-H. Wang, S.-H. Tai, T. Chiang, "A Low-Power and Bandwidth-Efficient Motion Estimation IP Core Design Using Binary Search", IEEE TCSVT, vol. 19, no. 5, pp. 760--765, May 2009 Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. L.-F. Ding, et al., "A 212 MPixels/s 4096x2160p Multiview Video Encoder Chip for 3D/Quad Full HDTV Applications", IEEE Journal of Solid-State Circuits, vol. 45, no. 1, pp. 46--58, Jan. 2010Google ScholarGoogle ScholarCross RefCross Ref
  10. X. Xu, Y. He, "Fast disparity motion estimation in MVC based on range prediction," IEE ICIP, pp. 2000--2003, 2008.Google ScholarGoogle Scholar
  11. H. Shim, C.-M. Kyung, "Selective Search Area Reuse Algorithm for Low External Memory Access Motion Estimation", IEEE TCSVT, vol. 19, no. 7, pp. 1044--1050, July 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Saponara, L. Fanucci, "Data-adaptive motion estimation algorithm and VLSI architecture design for low-power video systems", IEE Computers and Digital Techniques, vol. 151, no. 1, pp. 51--59, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  13. T.-C. Chen, et al., "Fast Algorithm and Architecture Design of Low-Power Integer Motion Estimation for H.264/AVC", IEEE TCSVT, vol. 17, no. 5, pp. 568--577, May 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. P.-K. Tsung et al., "Cache-based integer motion/disparity estimation for quad-HD H.264/AVC and HD multiview video coding", IEEE ICASSP, pp. 2013--2016, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C.-Y. Tsai, et al., "Low Power Cache Algorithm and Architecture Design for Fast Motion Estimation in H.264/AVC Encoder System," IEEE ICASSP, vol.2, pp. II-97--II-100, 2007.Google ScholarGoogle Scholar
  16. T. Tuan, et al., "A 90nm Low-Power FPGA for Battery-Powered Applications", ACM FPGA, pp. 3--11, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Yang, W. Wolf, N. Vijaykrishnan, "Power and performance analysis of motion estimation based on hardware and software realizations", IEEE Transactions on Computers, vol. 54, no. 6, pp. 714--726, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. Yang et al., "Multiview video coding based on rectified epipolar lines", ICICS, pp. 1--5, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. JMVC 6.0," garcon.ient.rwthaachen.de, Sep. 2009.Google ScholarGoogle Scholar
  20. M. Shafique, L. Bauer, J. Henkel, "enBudget: A Run-Time Adaptive Predictive Energy-Budgeting Scheme for Energy-Aware Motion Estimation in H.264/MPEG-4 AVC Video Encoder," IEEE DATE, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. M. Shafique, B. Molkenthin, J. Henkel, "An HVS-based Adaptive Computational Complexity Reduction Scheme for H.264/AVC Video Encoder using Prognostic Early Mode Exclusion," IEEE DATE, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. B. Zatt, M. Shafique, S. Bampi, J. Henkel, "Multi-Level Pipelined Parallel Hardware Architecture for High Throughput Motion and Disparity Estimation in Multiview Video Coding," IEEE DATE, 2011.Google ScholarGoogle Scholar

Index Terms

  1. Run-time adaptive energy-aware motion and disparity estimation in multiview video coding

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            DAC '11: Proceedings of the 48th Design Automation Conference
            June 2011
            1055 pages
            ISBN:9781450306362
            DOI:10.1145/2024724

            Copyright © 2011 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 5 June 2011

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate1,770of5,499submissions,32%

            Upcoming Conference

            DAC '24
            61st ACM/IEEE Design Automation Conference
            June 23 - 27, 2024
            San Francisco , CA , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader