skip to main content
10.1145/2024724.2024951acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study

Published:05 June 2011Publication History

ABSTRACT

Pipelined MPSoCs provide a high throughput implementation platform for multimedia applications, with reduced design time and improved flexibility. Typically a pipelined MPSoC is balanced at design-time using worst-case parameters. Where there is a widely varying workload, such designs consume exorbitant amount of power. In this paper, we propose a novel adaptive pipelined MPSoC architecture that adapts itself to varying workloads. Our architecture consists of Main Processors and Auxiliary Processors with a distributed run-time balancing approach, where each Main Processor, independent of other Main Processors, decides for itself the number of required Auxiliary Processors at run-time depending on its varying workload. The proposed run-time balancing approach is based on off-line statistical information along with workload prediction and run-time monitoring of current and previous workloads' execution times. We exploited the adaptability of our architecture through a case study on an H.264 video encoder supporting HD720p at 30 fps, where clock- and power-gating were used to deactivate idle Auxiliary Processors during low workload periods. The results show that an adaptive pipelined MPSoC provides energy savings of up to 34% and 40% for clock- and power-gating based deactivation of Auxiliary Processors respectively with a minimum throughput of 29 fps when compared to a design-time balanced pipelined MPSoC.

References

  1. S. L. Shee, A. Erdos, and S. Parameswaran, "Heterogeneous multiprocessor implementations for jpeg:: a case study," in CODES+ISSS '06: Proceedings of the 4th international conference on Hardware/software codesign and system synthesis, (New York, NY, USA), pp. 217--222, ACM, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. S. Carta, A. Alimonda, A. Pisano, A. Acquaviva, and L. Benini, "A control theoretic approach to energy-efficient pipelined computation in mpsocs," ACM Trans. Embedded Comput. Syst., vol. 6, no. 4, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. L. Shee and S. Parameswaran, "Design methodology for pipelined heterogeneous multiprocessor system," in DAC '07: Proceedings of the 44th annual conference on Design automation, (New York, NY, USA), pp. 811--816, ACM, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H. Javaid and S. Parameswaran, "A design flow for application specific heterogeneous pipelined multiprocessor systems," in DAC '09: Proceedings of the 46th Annual Design Automation Conference, (New York, NY, USA), pp. 250--253, ACM, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Tensilica, "Xtensa Customizable Processor." http://www.tensilica.com.Google ScholarGoogle Scholar
  6. Altera, "Nios Processor." http://www.altera.com.Google ScholarGoogle Scholar
  7. ARC, "ARC 600 and 700 Core Families." http://www.arc.com.Google ScholarGoogle Scholar
  8. "H.264: Advanced video coding for generic audiovisual services." Available at: http://www.itu.int/.Google ScholarGoogle Scholar
  9. "Avs: Audio video coding standard workgroup of china." Available at: http://www.avs.org.cn/en/.Google ScholarGoogle Scholar
  10. "Vc1 technical overview." Available at: http://www.microsoft.com/.Google ScholarGoogle Scholar
  11. "Tensilica." Tensilica Inc. (http://www.tensilica.com).Google ScholarGoogle Scholar
  12. T. Kodaka, K. Kimura, and H. Kasahara, "Multigrain parallel processing for jpeg encoding on a single chip multiprocessor," in IWIA '02: Proceedings of the International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA '02), IEEE Computer Society, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. S. Banerjee, T. Hamada, P. Chau, and R. Fellman, "Macro pipelining based scheduling on high performance heterogeneous multiprocessor systems," Signal Processing, IEEE Transactions on, vol. 43, no. 6, pp. 1468--1484, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Jeon and K. Choi, "Loop pipelining in hardware-software partitioning," in Asia and South Pacific Design Automation Conference, pp. 361--366, 1998.Google ScholarGoogle Scholar
  15. J. DeSouza-Batista and A. Parker, "Optimal synthesis of application specific heterogeneous pipelined multiprocessors," Application Specific Array Processors, 1994. Proceedings., International Conference on, pp. 99--110, 22--24 Aug 1994.Google ScholarGoogle Scholar
  16. S.-R. Kuang, C.-Y Chen, and R.-Z. Liao, "Partitioning and pipelined scheduling of embedded system using integer linear programming," in ICPADS '05: Proceedings of the 11th International Conference on Parallel and Distributed Systems - Workshops (ICPADS '05), (Washington, DC, USA), pp. 37--41, IEEE Computer Society, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Bakshi and D. D. Gajski, "Partitioning and pipelining for performance-constrained hardware/software systems," IEEE Trans. VLSI Syst., vol. 7, no. 4, pp. 419--432, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. Tumeo, M. Branca, L. Camerini, M. Ceriani, M. Monchiero, G. Palermo, F. Ferrandi, and D. Sciuto, "Prototyping pipelined applications on a heterogeneous fpga multiprocessor virtual platform," in ASP-DAC '09: Proceedings of the 2009 Asia and South Pacific Design Automation Conference, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. I. Karkowski and H. Corporaal, "Design of heterogenous multi-processor embedded systems: applying functional pipelining," in PACT '97: Proceedings of the 1997 International Conference on Parallel Architectures and Compilation Techniques, IEEE Computer Society, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. A. Alimonda, S. Carta, A. Acquaviva, A. Pisano, and L. Benini, "A feedback-based approach to dvfs in data-flow applications," IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 28, no. 11, pp. 1691--1704, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. M. Shafique, L. Bauer, and J. Henkel, "enbudget: A run-time adaptive predictive energy-budgeting scheme for energy-aware motion estimation in h.264/mpeg-4 avc video encoder," in DATE, pp. 1725--1730, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Tensilica, "Flix: Fast relief for performance-hungry embedded applications." http://www.tensilica.com/pdf/FLIX_White_Paper_v2.pdf, 2005.Google ScholarGoogle Scholar
  23. Tensilica, "XPRES Generated Specialized Operations." http://tensilica.com/pdf/XPRES%201205.pdf, 2005.Google ScholarGoogle Scholar
  24. J. Leverich, M. Monchiero, V. Talwar, P. Ranganathan, and C. Kozyrakis, "Power management of datacenter workloads using per-core power gating," Computer Architecture Letters, vol. 8, pp. 48--51, feb. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. T. Tuan, A. Rahman, S. Das, S. Trimberger, and S. Kao, "A 90-nm low-power fpga for battery-powered applications," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 26, pp. 296--300, feb. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. T.-C. Chen, C.-J. Lian, and L.-G. Chen, "Hardware architecture design of an h.264/avc video codec," in Proceedings of the 2006 Asia and South Pacific Design Automation Conference, ASP-DAC '06, IEEE Press, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M. Shafique, L. Bauer, and J. Henkel, "3-tier dynamically adaptive power-aware motion estimator for h.264/avc video encoding," in ISLPED, pp. 147--152, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. "H.264 test video sequences." Available at: http://media.xiph.org/video/derf/.Google ScholarGoogle Scholar

Index Terms

  1. Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study

              Recommendations

              Comments

              Login options

              Check if you have access through your login credentials or your institution to get full access on this article.

              Sign in
              • Published in

                cover image ACM Conferences
                DAC '11: Proceedings of the 48th Design Automation Conference
                June 2011
                1055 pages
                ISBN:9781450306362
                DOI:10.1145/2024724

                Copyright © 2011 ACM

                Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                Publisher

                Association for Computing Machinery

                New York, NY, United States

                Publication History

                • Published: 5 June 2011

                Permissions

                Request permissions about this article.

                Request Permissions

                Check for updates

                Qualifiers

                • research-article

                Acceptance Rates

                Overall Acceptance Rate1,770of5,499submissions,32%

                Upcoming Conference

                DAC '24
                61st ACM/IEEE Design Automation Conference
                June 23 - 27, 2024
                San Francisco , CA , USA

              PDF Format

              View or Download as a PDF file.

              PDF

              eReader

              View online with eReader.

              eReader