skip to main content
10.1145/2039370.2039401acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Economic learning for thermal-aware power budgeting in many-core architectures

Authors Info & Claims
Published:09 October 2011Publication History

ABSTRACT

One of the key challenges for multi-core processors in the nano-CMOS era is dealing with the increased temperatures. It is imperative that peak temperatures are reduced and that heat is spread as evenly on the chip as possible to avoid mutual heating and high thermal gradients between processor cores. Approaches have emerged which share a global power budget among multiple cores in order to meet these objectives. However, while these approaches act proactively in distributing power across the chip before thermal problems arise, changes in the respective strategies remain reactive to a temperature threshold. Our approach uses reinforcement learning in order to dynamically change what we call power trading strategies before thermal thresholds are hit based on past recorded observations. Through learning, our hierarchical approach is also able to distribute so-called multiple power budgets at once thereby making power trading more effective, reaching a decrease in peak temperatures of around 4 compared to a fully distributed approach - which can be critical at near-threshold temperatures in terms of transient errors - while also decreasing the number of deadline misses by a factor of 7. Our technique has been verified by deploying a thermal camera.

References

  1. N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, S. K. Reinhardt. "The M5 Simulator: Modeling Networked Systems,". IEEE Micro, vol. 26, no. 4, pp. 52--60, July/August, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Borkar, S., "Thousand core chips: a technology perspective,". In Proc. of the Design Automation Conference (DAC), pp. 746--749, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Coskun, A. K, Rosing, T. S., and Gross, K. C. ''Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs," In IEEE Transactions on CAD, vol. 28 no. 10, pp. 1503--1516, Oct. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Coskun, A. K., Rosing, T. S., and Gross, K. C. ''Temperature Management in Multiprocessor SoCs using Online Learning,". In Proc. of the Design Automation Conference (DAC), pp. 890--893. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Ebi, T., Al Faruque, M. A., and Henkel, J. ''TAPE: Thermal-Aware Agent-Based Power Economy for Multi/Manycore Architectures,". In Proc. of the International Conference on Computer-Aided Design (ICCAD). pp. 302--309, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Herbert, S., and Marculescu, D. ''Analysis of Dynamic Voltage/Frequency Scaling in Chip-Multiprocessors,". In Proc. of the International Symposium on Low Power Electronics and Design (ISLPED), pp. 38--43, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan, S. Ghosh, and S. Velusam. ''Compact Thermal Modeling for Temperature-Aware Design,". In Proc. of the Design Automation Conference (DAC), pp. 878--883. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Sheng Li, Jung Ho Ahn, Strong, R.D., Brockman, J. B., Tullsen, D. M., and Jouppi, N. P. "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures,".Google ScholarGoogle Scholar
  9. Narayanan, V. and Xie, Y., ''Reliability concerns in embedded system designs," IEEE Computer, vol. 39, no. 1, pp. 118--120, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Umit Y. Ogras, Radu Marculescu, Puru Choudhary, and Diana Marculescu. ''Voltage-frequency island partitioning for GALS-based networks-on-chip,". In Proc. of the Design Automation Conference (DAC), pp. 110--115, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Pinkesh J. Shah, Yoni Aizik, Muhammad Mhameed, and Gila Kamhi, ''Challenges and methodologies for efficient power budgeting across the die,'' In Proc. of the 20th symposium on Great lakes symposium on VLSI (GLSVLSI), pp. 317--322, 201. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Sutton, R. S., and A. G. Barto, ''Reinforcement Learning: An Introduction,'' The MIT Press, Cambridge, MA, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M. D. Powell, M. Gomaa, and T. N. Vijaykumar. ''Heat-and-Run: Leveraging SMT and CMP to Manage Power Density Through the System''. In Proc. of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). pp. 260--270, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. I. Yeo, C. C. Liu, E. J. Kim. ''Predictive Dynamic Thermal Management for Multicore Systems,". In Proc. of the Design Automation Conference (DAC), pp. 734--739, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Intel: ''Intel Lifts the Hood on its 'Single-Chip' Cloud Computer'''. http://spectrum.ieee.org/semiconductors/processors/intel-lifts-the-hood-on-its-singlechip-cloud-computer. 2010.Google ScholarGoogle Scholar
  16. http://www.dias-infrared.de/pdf/pyroview_compact_en.pdf.Google ScholarGoogle Scholar
  17. http://www.freertos.or.Google ScholarGoogle Scholar
  18. http://www.spec.org/cpu2006.Google ScholarGoogle Scholar

Index Terms

  1. Economic learning for thermal-aware power budgeting in many-core architectures

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          CODES+ISSS '11: Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
          October 2011
          402 pages
          ISBN:9781450307154
          DOI:10.1145/2039370

          Copyright © 2011 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 9 October 2011

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate280of864submissions,32%

          Upcoming Conference

          ESWEEK '24
          Twentieth Embedded Systems Week
          September 29 - October 4, 2024
          Raleigh , NC , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader