ABSTRACT
In recent years, non-volatile memory (NVM) technologies have emerged as candidates for future universal memory. NVMs generally have advantages such as low leakage power, high density, and fast read spead. At the same time, NVMs also have disadvantages. For example, NVMs often have asymetric read and write speed and energy cost, which poses new challenges when applying NVMs. This paper contains a collection of four contributions, presenting basic introduction on three emerging NVM technologies, their unique characteristics, potential challenges, and new opportunities that they may bring forward in memory systems.
- J. Borghetti, G. Snider, P. Kuekes, J. Yang, D. Stewart, and R. Williams. Memristive switches enable 'stateful' logic operations via material implication. Nature, 464:873--876, 2010.Google ScholarCross Ref
- G. W. Burr and et al. Phase change memory technology. J. of Vacuum Science & Technology B, 28(2), 2010.Google ScholarCross Ref
- A. Cabrini and et al. Voltage-driven multilevel programming in phase change memories. In IEEE International Workshop on Memory Technology, Design, and Testing, 2009. Google ScholarDigital Library
- L. Chua. Resistance switching memories are memristors. Applied Physics A: Materials Science & Processing, 102:765--783, 2011.Google ScholarCross Ref
- H. Chung and et al. A 58nm 1.8v 1gb pram with 6.4mb/s program bw. In IEEE International Solid-State Circuits Conference, 2011.Google Scholar
- G. Dhiman and et al. Pdram: A hybrid pram and dram main memory system. In Design Automation Conference, 2009. Google ScholarDigital Library
- Z. Diao, Z. Li, S. Wang, Y. Ding, A. Panchula, and et al. Spin-Transfer Torque Switching in Magnetic Tunnel Junctions and Spin-Transfer Torque Random Access Memory. Journal of Physics: Condensed Matter, 19(16):165209 (13pp), 2007.Google Scholar
- Z. Diao, S. W. Z. Li, Y. Ding, A. Panchula, E. Chen, L.-C. Wang, and Y. Huai. Spin-transfer torque switching in magnetic tunnel junctions and spin-transfer torque random access memory. Journal of Physics: Condensed Matter, 19(16):165209.Google ScholarCross Ref
- X. Dong, X. Wu, G. Sun, Y. Xie, H. Li, and Y. Chen. Circuit and microarchitecture evaluation of 3d stacking magnetic ram (mram) as a universal memory replacement. In Design Automation Conference, pages 554--559, 2008. Google ScholarDigital Library
- S. Eilert and et al. Phase change memory (pcm): A new memory technology to enable new memory usage models. Numonyx white paper, 2009.Google Scholar
- W. J. Gallagher and S. S. P. Parkin. Development of the magnetic tunnel junction mram at ibm: From first junctions to a 16-mb mram demonstrator chip. IBM Journal of Research and Development, 50(1):5--23, 2006. Google ScholarDigital Library
- B. Gleixner and et al. Reliability characterization of phase change memory. In the 10th Annual Non-Volatile Memory Technology Symposium, 2009.Google Scholar
- M. Hosomi, H. Yamagishi, T. Yamamoto, K. Bessho, Y. Higo, and et al. A Novel Non-Volatile Memory With Spin Torque Transfer Magnetization Switching: Spin-RAM. In Proceedings of IEDM, pages 459--462, 2005.Google ScholarCross Ref
- J. Hu and et al. Reducing write activities on non-volatile memories in embedded cmps via data migration and recomputation. In Design Automation Conference, 2010. Google ScholarDigital Library
- M. Inc. http://www.micron.com/products/pcm/.Google Scholar
- L. Jiang and et al. Enhancing phase change memory lifetime through fine-grained current regulation and voltage upscaling. In International Symposium on Low Power Electronics and Design, 2011. Google ScholarDigital Library
- L. Jiang and et al. Lls: Cooperative integration of wear-leveling and salvaging for pcm main memory. In IEEE/IFIP International Conference on Dependable Systems and Networks, 2011. Google ScholarDigital Library
- K. Kim and S. J. Ahn. Reliability investigations for manufacturable high density pram. In IEEE International Reliability Physics Symposium, 2005.Google ScholarCross Ref
- B. Lee. Phase-change technology and the future of main memory. In IEEE Micro, 2010. Google ScholarDigital Library
- M.-J. Lee, C. B. Lee, D. Lee, S. R. Lee, M. Chang, J. H. Hur, Y.-B. Kim, C.-J. Kim, D. H. Seo, S. Seo, U. I. Chung, I.-K. Yoo, and K. Kim. A fast, high-endurance and scalable non-volatile memory device made from asymmetric $ta2o_5-x/tao_2-x$ bilayer structures. Nature Mater, 10:625--630, 2011.Google ScholarCross Ref
- H. Li and Y. Chen. An overview of non-volatile memory technology and the implication for tools and architectures. In Design, Automation and Test in Europe Conference and Exhibition, pages 731--736, 2009. Google ScholarDigital Library
- T. Liu and et al. Power-aware variable partitioning for dsps with hybrid pram and dram main memory. In Design Automation Conference, 2011. Google ScholarDigital Library
- X. Lou, Z. Gao, D. V. Dimitrov, and M. Tang. Demonstration of multilevel cell spin transfer switching in mgo magnetic tunnel junctions. Applied Physics Letter, 93:242502.Google Scholar
- N. Muralimanohar, R. Balasubramonian, and N. Jouppi. Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0. In Proceedings of MICRO, pages 3--14, 2007. Google ScholarDigital Library
- M. Qureshi and et al. Enhancing lifetime and security of pcm-based main memory with start-gap wear leveling. In International Symposium on Microarchitecture, 2009. Google ScholarDigital Library
- M. Qureshi and et al. Scalable high performance main memory system using phase-change memory technology. In International Symposium on Computer Architecture, 2009. Google ScholarDigital Library
- B. Rajendran and et al. Analytical model for reset operation of phase change memory. In IEEE IEDM, 2008.Google Scholar
- S. Raoux and et al. Phase-change random access memory: A scalable technology. IBM J. Res. & Dev., 52(4/5):465--479, 2008. Google ScholarDigital Library
- S. Schechter and et al. Use ecp, not ecc, for hard failures in resistive memories. In International Symposium on Computer Architecture, 2010. Google ScholarDigital Library
- N. H. Seong and et al. Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping. In International Symposium on Computer Architecture, 2010. Google ScholarDigital Library
- G. S. Snider. Spike-timing-dependent learning in memristive nanodevices. In 2008 IEEE International Symposium on Nanoscale Architectures, pages 85--92. IEEE, 2008. Google ScholarDigital Library
- D. B. Strukov, G. S. Snider, D. R. Stewart, and R. S. Williams. The missing memristor found. Nature 453, pages 80--83, 2008.Google Scholar
- K. Szot, W. Speier, G. Bihlmayer, and R. Waser.Google Scholar
- T. Tanzawa and T. Tanaka. A dynamic analysis of the dickson charge pump circuit. IEEE Journal of Solid-State Circuits, pages 1231--1240, 1997.Google Scholar
- R. K. C. V. V. Zhirnov, R. Meade and G. Sandhu.Google Scholar
- X. Wang, Y. Chen, H. Li, H. Liu, and D. V. Dimitrov. Spin torque random access memory down to 22nm technology. IEEE Transaction on Magnetics, 44(11):2479--2482, 2008.Google ScholarCross Ref
- R. Waser, R. Dittmann, G. Staikov, and K. Szot. Redox-based resistive switching memories_nanoionic mechanisms, prospects, and challenges. Adv. Mater., 21:2632--2663, 2009.Google ScholarCross Ref
- Q. F. Xia, W. Robinett, M. W. Cumbie, N. Banerjee, T. J. Cardinali, J. J. Yang, W. Wu, X. M. Li, W. M. Tong, D. B. Strukov, G. S. Snider, G. Medeiros-Ribeiro, and R. S. Williams. Memristor-cmos hybrid integrated circuits for reconfigurable logic. Nano Lett., 9:3640--3645, 2009.Google ScholarCross Ref
- J. J. Yang, J. Borghetti, D. Murphy, D. R. Stewart, and R. S. Williams. A family of electronically reconfigurable nanodevices. Adv. Mater., 21:3754--3758, 2009.Google ScholarCross Ref
- J. J. Yang, N. P. Kobayashi, J. P. Strachan, M. X. Zhang, D. A. A. Ohlberg, M. D. Pickett, Z. Li, G. Medeiros-Ribeiro, and R. S. Williams. Dopant control by atomic layer deposition in oxide films for memristive switches. Chem. Mater., 23:123--125, 2011.Google ScholarCross Ref
- J. J. Yang, F. Miao, M. D. Pickett, D. A. A. Ohlberg, D. R. Stewart, C. N. Lau, and R. S. Williams. The mechanism of electroforming of metal oxide memristive switches. Nanotechnology, 20:215201, 9pp, 2009.Google Scholar
- J. J. Yang, M. D. Picketta, X. Li, D. A. A. Ohlberg, D. R. Stewart, and R. S. Williams. Memristive switching mechanism for metal/oxide/metal nanodevices. Nature Nanotechnology, 3:429--433, 2008.Google ScholarCross Ref
- J. J. Yang, J. Strachan, F. Miao, M.-X. Zhang, M. Pickett, W. Yi, D. Ohlberg, G. Medeiros-Ribeiro, and R. Williams. Metal/tio2 interfaces for memristive switches. Appl. Phys. A, 102:785--789, 2011.Google ScholarCross Ref
- J. J. Yang, J. P. Strachan, Q. Xia, D. A. A. Ohlberg, P. J. Kuekes, R. D. Kelley, W. F. Stickle, D. R. Stewart, G. Medeiros-Ribeiro, and R. S. Williams. Diffusion of adhesion layer metals controls nanoscale memristive switching. Adv. Mater., 22:4034--4038, 2010.Google ScholarCross Ref
- D. H. Yoon and M. Erez. Memory mapped ECC: low-cost error protection for last level caches. In Proceedings of ISCA, pages 116--127, 2009. Google ScholarDigital Library
- W. Zhang and et al. Characterizing and mitigating the impact of process variations on phase change based memory systems. In International Symposium on Microarchitecture, 2009. Google ScholarDigital Library
- W. Zhang and et al. Exploring phase change memory and 3d die-stacking for power/thermal friendly, fast and durable memory architectures. In International Conference on Parallel Architectures and Compilation Techniques, 2009. Google ScholarDigital Library
- W. Zhao, E. Belhaire, Q. Mistral, C. Chappert, V. Javerliac, and et al. Macro-model of Spin-Transfer Torque based Magnetic Tunnel Junction Device for Hybrid Magnetic-CMOS Design. In IEEE International Behavioral Modeling and Simulation Workshop, pages 40--43, 2006.Google ScholarCross Ref
- V. V. Zhirnov and R. K. Cavin. Nanodevices: Charge of the heavy brigade. Nat Nano, 3:377--378, 2008.Google ScholarCross Ref
- P. Zhou and et al. A durable and energy efficient main memory using phase change memory technology. In International Symposium on Computer Architecture, 2009. Google ScholarDigital Library
Index Terms
- Emerging non-volatile memories: opportunities and challenges
Recommendations
Emerging NVM: A Survey on Architectural Integration and Research Challenges
There has been a surge of interest in Non-Volatile Memory (NVM) in recent years. With many advantages, such as density and power consumption, NVM is carving out a place in the memory hierarchy and may eventually change our view of computer architecture. ...
Embedded non-volatile memories
SBCCI '07: Proceedings of the 20th annual conference on Integrated circuits and systems designThis tutorial covers trends in embedded non-volatile memories including details of issues for scaling NAND and NOR flash and descriptions of scaled flash memory technologies and various evolutionary flash memory technologies such as trapping site ...
Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation
DAC '10: Proceedings of the 47th Design Automation ConferenceRecent advances in circuit and process technologies have pushed non-volatile memory technologies into a new era. These technologies exhibit appealing properties such as low power consumption, non-volatility, shock-resistivity, and high density. However, ...
Comments