skip to main content
research-article

A caching-oriented management design for the performance enhancement of solid-state drives

Published:24 February 2012Publication History
Skip Abstract Section

Abstract

While solid-state drives are excellent alternatives to hard disks in mobile devices, a number of performance and reliability issues need to be addressed. In this work, we design an efficient flash management scheme for the performance improvement of low-cost MLC flash memory devices. Specifically, we design an efficient flash management scheme for multi-chipped flash memory devices with cache support, and develop a two-level address translation mechanism with an adaptive caching policy. We evaluated the approach on real workloads. The results demonstrate that it can improve the performance of multi-chipped solid-state drives through logical-to-physical mappings and concurrent accesses to flash chips.

References

  1. Agrawal, N., Prabhakaran, V., Wobber, T., Davis, J. D., Manasse, M., and Panigrahy, R. 2008. Design tradeoffs for SSD performance. In Proceedings of the USENIX 2008 Annual Technical Conference. USENIX Association, Berkeley, CA, 57--70. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Ban, A. 2004. Wear leveling of static areas in flash memory. US Patent 6,732,221. M-systems.Google ScholarGoogle Scholar
  3. Ben-Aroya, A. and Toledo, S. 2006. Competitive analysis of flash-memory algorithms. In Proceedings of the 14th Conference on Annual European Symposium (ESA). 100--111. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Birrell, A., Isard, M., Thacker, C., and Wobber, T. 2007. A design for high-performance flash disks. SIGOPS Oper. Syst. Rev. 41, 2, 88--93. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Carrier, B. 2005. File System Forensic Analysis. Addison Wesley Professional. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Caulfield, A. M., Grupp, L. M., and Swanson, S. 2009. Gordon: using flash memory to build fast, power-efficient clusters for data-intensive applications. SIGPLAN Not. 44, 217--228. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Chang, L.-P. and Kuo, T.-W. 2002. An adaptive striping architecture for flash memory storage systems of embedded systems. In Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 187--196. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Chang, L.-P. and Kuo, T.-W. 2004. An efficient management scheme for large-scale flash-memory storage systems. In Proceedings of the ACM Symposium on Applied Computing (SAC). 862--868. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Chang, Y.-H., Hsieh, J.-W., and Kuo, T.-W. 2007a. Endurance enhancement of flash-memory storage, systems: An efficient static wear leveling design. In Proceedings of the 44th Annual ACM/IEEE Design Automation Conference, 2007 (DAC'07). 212--217. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Chang, Y.-H., Hsieh, J.-W., and Kuo, T.-W. 2007b. Endurance enhancement of flash-memory storage systems: An efficient static wear leveling design. In Proceedings of the 44th ACM/IEEE Design Automation Conference (DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Chang, Y.-H. and Kuo, T.-W. 2009. A commitment-based management strategy for the performance and reliability enhancement of flash-memory storage systems. In Proceedings of the 46th ACM/IEEE Design Automation Conference (DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Chang, Y.-H. and Kuo, T.-W. 2011. A management strategy for the reliability and performance improvement of MLC-based flash-memory storage systems. IEEE Trans. Comput. 60, 3, 305--320. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Cho, Y. J. and Jeon, J. W. 2008. Design of an efficient initialization method of a log-based file system with flash memory. In Proceedings of the 6th Annual IEEE International Conference on Industrial Informatics, 2008 (INDIN). 1620--1625.Google ScholarGoogle Scholar
  14. Doh, I. H., Lee, H. J., Moon, Y. J., Kim, E., Choi, J., Lee, D., and Noh, S. H. 2009. Impact of NVRAM write cache for file system metadata on i/o performance in embedded systems. In Proceedings of the ACM Symposium on Applied Computing (SAC'09). Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. DRAMeXchange 2009. Flash contract price, http://www.dramexchange.com/. DRAMeXchange.Google ScholarGoogle Scholar
  16. Gupta, A., Kim, Y., and Urgaonkar, B. 2009. DFTL: A flash translation layer employing demand-based selective caching of page-level address mappings. SIGPLAN Not. 44, 229--240. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Intel Corporation. 1995. FTL Logger Exchanging Data with FTL Systems. Intel Corporation.Google ScholarGoogle Scholar
  18. Intel Corporation. 1998. Understanding the flash translation layer (FTL) specification, http://developer. intel.com/. Intel Corporation.Google ScholarGoogle Scholar
  19. Jo, H., Kang, J.-U., Park, S.-Y., Kim, J.-S., and Lee, J. 2006. FAB: Flash-Aware Buffer management policy for portable media players. IEEE Trans. Consum. Electron. 485--493. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Kang, S., Park, S., Jung, H., Shim, H., and Cha, J. 2009. Performance trade-offs in using nvram write buffer for flash memory-based storage devices. IEEE Trans. Comput. 58, 6, 744--758. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Kawaguchi, A., Nishioka, S., and Motoda, H. 1995. A flash-memory based file system. In Proceedings of the USENIX Technical Conference. 155--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Kim, H. and Ahn, S. 2008. BPLRU: A buffer management scheme for improving random writes in flash storage. In Proceedings of the 6th USENIX Conference on File and Storage Technologies (FAST). 239--252. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Kim, S. and Cho, Y. 2008. The design and implementation of flash cryptographic file system based on yaffs. In Proceedings of the International Conference on Information Science and Security, 2008 (ICISS). 62--65. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Kuo, T.-W., Chang, Y.-H., Huang, P.-C., and Chang, C.-W. 2008. Special issues in Flash. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD).Google ScholarGoogle Scholar
  25. Lee, C., Baek, S. H., and Park, K. H. 2008. A hybrid flash file system based on nor and nand flash memories for embedded devices. IEEE Trans. Comput. 57, 7, 1002--1008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Lim, S.-H. and Park, K.-H. 2006. An efficient nand flash file system for flash memory storage. IEEE Trans. Comput. 55, 7, 906--912. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M-Systems. 1998. Flash-memory Translation Layer for NAND flash (NFTL). M-Systems.Google ScholarGoogle Scholar
  28. Narayanan, D., Donnelly, A., and Rowstron, A. 2008. Write off-loading: Practical power management for enterprise storage. ACM Trans. Storage 4, 10:1--10:23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Park, S., Jung, H., Shim, H., Kang, S., and Cha, J. 2008a. Using non-volatile ram as a write buffer for nand flash memory-based storage devices. In Proceedings of the IEEE International Symposium on Modeling, Analysis and Simulation of Computers and Telecommunication Systems (MASCOTS). 1--3.Google ScholarGoogle Scholar
  30. Park, S.-Y., Jung, D., Kang, J.-U., Kim, J.-S., and Lee, J. 2006. CFLRU: A replacement algorithm for flash memory. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES). Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Park, Y., Lim, S.-H., Lee, C., and Park, K. H. 2008b. PFFS: A scalable flash memory file system for the hybrid architecture of phase-change RAM and NAND flash. In Proceedings of the ACM symposium on Applied Computing (SAC'08). ACM, 1498--1503. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Samsung Electronics. 2005. K9K8G08U0M 1G * 8 Bit NAND flash memory data sheet. Samsung Electronics.Google ScholarGoogle Scholar
  33. Samsung Electronics. 2006. K9GAG08U0M 2G x 8bit NAND flash memory data sheet. Samsung Electronics.Google ScholarGoogle Scholar
  34. Spivak, M. and Toledo, S. 2006. Storing a persistent transactional object heap on flash memory. In Proceedings of the ACM Conference on Language, Compilers, and Tool Support for Embedded Systems (LCTES). 22--33. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. STMicroelectronics. 2005. NAND08Gx3C2A 8Gbit multi-level NAND flash memory. STMicroelectronics.Google ScholarGoogle Scholar
  36. Traeger, A., Zadok, E., Joukov, N., and Wright, C. P. 2008. A nine year study of file system and storage benchmarking. Trans. Storage 4, 5:1--5:56. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Tsai, Y.-L., Hsieh, J.-W., and Kuo, T.-W. 2006. Configurable nand flash translation layer. In Proceedings of the IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing. Vol. 1. 8 pp.--. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Wu, C.-H. and Kuo, T.-W. 2006. An adaptive two-level mnagement for the flash translation layer in embedded systems. In Proceedings of the IEEE/ACM Iinternational Conference on Computer-Aided Design (ICCAD). 601--606. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Wu, C.-H., Kuo, T.-W., and Yang, C.-L. 2006. A space-efficient caching mechanism for flash-memory address translation. In Proceedings of the 9th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing, 2006 (ISORC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Wu, P.-L., Chang, Y.-H., and Kuo, T.-W. 2009. A file-system-aware FTL design for flash-memory storage systems. In Proceedings of the Symposium on the ACM/IEEE Design, Automation and Test in Europe (DATE). Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Xin, Q., Miller, E. L., Schwarz, T., Long, D. D., Brandt, S. A., and Litwin, W. 2003. Reliability mechanisms for very large storage systems. In Proceedings of the 20th IEEE/11th NASA Goddard Conference on Mass Storage Systems and Technologies (MSS). 146--156. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Yim, K. S., Bahn, H., and Koh, K. 2004. A Flash Compression Layer for SmartMedia Card Systems. IEEE Trans. Consum. Electron. 50, 1, 192--197. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A caching-oriented management design for the performance enhancement of solid-state drives

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Storage
          ACM Transactions on Storage  Volume 8, Issue 1
          February 2012
          92 pages
          ISSN:1553-3077
          EISSN:1553-3093
          DOI:10.1145/2093139
          Issue’s Table of Contents

          Copyright © 2012 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 24 February 2012
          • Revised: 1 July 2011
          • Accepted: 1 July 2011
          • Received: 1 December 2010
          Published in tos Volume 8, Issue 1

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader