skip to main content
10.1145/2103799.2103804acmotherconferencesArticle/Chapter ViewAbstractPublication PagesapsysConference Proceedingsconference-collections
research-article

An efficient software shared virtual memory for the single-chip cloud computer

Published: 11 July 2011 Publication History

Abstract

The Single-chip Cloud Computer (SCC) is an experimental processor created by Intel Labs. The SCC is based on a message passing architecture and does not provide any hardware cache coherence mechanism. Software or programmers should take care of coherence and consistency of a shared region between different cores. In this paper, we propose an efficient software shared virtual memory (SVM) for the SCC as an alternative to the cache coherence mechanism and report some preliminary results. Our software SVM is based on the commit-reconcile and fence (CRF) memory model and does not require a complicated SVM protocol between cores. We evaluate the effectiveness of our approach by comparing the software SVM with a cache-coherent NUMA machine using three synthetic micro-benchmark applications and five applications from SPLASH-2. Evaluation result indicates that our approach is promising.

References

[1]
J. B. Carter, J. K. Bennett, and W. Zwaenepoel. Implementation and performance of munin. In SOSP '91: Proceedings of the thirteenth ACM Symposium on Operating Systems Principles, pages 152--164, October 1991.
[2]
P. Conway, N. Kalyanasundharam, G. Donley, K. Lepak, and B. Hughes. Cache hierarchy and memory subsystem of the AMD Opteron processor. IEEE Micro, 30(2):16--29, 2010.
[3]
K. Gharachorloo, D. Lenoski, J. Laudon, P. Gibbons, A. Gupta, and J. Hennessy. Memory consistency and event ordering in scalable shared-memory multiprocessors. In ISCA '90: Proceedings of the 17th annual international symposium on Computer Architecture, pages 15--26, 1990.
[4]
J. Howard, S. Dighe, Y. Hoskote, S. Vangal, D. Finan, G. Ruhl, D. Jenkins, H. Wilson, N. Borkar, G. Schrom1, F. Pailet, S. Jain, T. Jacob, S. Yada, S. Marella, P. Salihundam2, V. Erraguntla, M. Konow, M. Riepen, G. Droege, J. Lindemann, M. Gries, T. Apel, K. Henriss, T. Lund-Larsen, S. Steibl, S. Borkar, V. De, R. V. D. Wijngaart, and T. Mattson. A 48-Core IA-32 Message-Passing Processor with DVFS in 45nm CMOS. In ISSCC' 10: Proceedings of the 57th International Solid-State Circuits Conference, February 2010, 2010.
[5]
L. Iftode and J. P. Singh. Shared virtual memory: progress and challenges. Proceedings of the IEEE, 87(3):498--507, March 1999.
[6]
T. Inagaki, J. Niwa, T. Matsumoto, and K. Hiraki. Supporting software distributed shared memory with an optimizing compiler. In Proceedings of the 1998 International Conference on Parallel Processing, ICPP '98, 1998.
[7]
P. Keleher, A. L. Cox, S. Dwarkadas, and W. Zwaenepoel. TreadMarks: distributed shared memory on standard workstations and operating systems. In Proceedings of the USENIX Winter 1994 Technical Conference, January 1994.
[8]
P. Keleher, A. L. Cox, and W. Zwaenepoel. Lazy Release Consistency for Software Distributed Shared Memory. In ISCA'92: Proceedings of the 19th Annual International Symposium on Computer Architecture, pages 13--21, May 1992.
[9]
J. Lee, J. Lee, S. Seo, J. Kim, S. Kim, and Z. Sura. COMIC++: A Software SVM System for Heterogeneous Multicore Accelerator Clusters. In HPCA'10: Proceedings of the 15th International Symposium on High Performance Computer Architecture, January 2010.
[10]
J. Lee, S. Seo, C. Kim, J. Kim, P. Chun, Z. Sura, J. Kim, and S. Han. COMIC: a coherent shared memory interface for cell be. In Proceedings of the 17th international conference on Parallel architectures and compilation techniques, PACT '08, pages 303--314, 2008.
[11]
K. Li and P. Hudak. Memory coherence in shared virtual memory systems. ACM Transactions on Computer Systems, 7(4):321--359, November 1989.
[12]
X. Shen, Arvind, and L. Rudolph. Commit-reconcile & fences (CRF): a new memory model for architects and compiler writers. In Proceedings of the 26th Annual International Symposium on Computer Architecture, ISCA '99, pages 150--161, 1999.
[13]
R. Stets, S. Dwarkadas, N. Hardavellas, G. Hunt, L. Kontothanassis, S. Parthasarathy, and M. Scott. Cashmere-2L: Software Coherent Shared Memory on a Clustered Remote-write Network. In SOSP '97: Proceedings of the sixteenth ACM Symposium on Operating Systems Principles, pages 170--183, October 1997.
[14]
R. F. van der Wijngaart, T. G. Mattson, and W. Haas. Light-weight communications on intel's single-chip cloud computer processor. SIGOPS Operating Systems Review, 45(1):73--83, February 2011.
[15]
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 programs: characterization and methodological considerations. In Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, pages 24--36, 1995.

Cited By

View all
  • (2016)Software Coherence Management on Non-coherent Cache Multi-coresProceedings of the 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID)10.1109/VLSID.2016.70(397-402)Online publication date: 4-Jan-2016
  • (2016)Rhymes+: A Software Shared Virtual Memory System with Three Way Coherence Protocols on the Intel Single-Chip Cloud ComputerAdvances in Parallel and Distributed Computing and Ubiquitous Services10.1007/978-981-10-0068-3_1(1-9)Online publication date: 24-Jan-2016

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Other conferences
APSys '11: Proceedings of the Second Asia-Pacific Workshop on Systems
July 2011
97 pages
ISBN:9781450311793
DOI:10.1145/2103799
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

  • USENIX Assoc: USENIX Assoc

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 11 July 2011

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Funding Sources

Conference

APSys '11
Sponsor:
  • USENIX Assoc
APSys '11: Asia Pacific Workshop on Systems
July 11 - 12, 2011
Shanghai, China

Acceptance Rates

Overall Acceptance Rate 169 of 430 submissions, 39%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)0
Reflects downloads up to 20 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2016)Software Coherence Management on Non-coherent Cache Multi-coresProceedings of the 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID)10.1109/VLSID.2016.70(397-402)Online publication date: 4-Jan-2016
  • (2016)Rhymes+: A Software Shared Virtual Memory System with Three Way Coherence Protocols on the Intel Single-Chip Cloud ComputerAdvances in Parallel and Distributed Computing and Ubiquitous Services10.1007/978-981-10-0068-3_1(1-9)Online publication date: 24-Jan-2016

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media