skip to main content
10.1145/2145694.2145742acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
poster

Timing yield improvement of FPGAs utilizing enhanced architectures and multiple configurations under process variation (abstract only)

Published:22 February 2012Publication History

ABSTRACT

Designing with field-programmable gate arrays (FPGAs) can face with difficulties due to process variations. Some techniques use reconfigurability of FPGAs to reduce the effects of process variations in these chips. Furthermore, FPGA architecture enhancement is an effective way to degrade the impact of variation. In this paper, various FPGA architectures are examined to identify which architecture can achieve larger parametric yield improvement utilizing multiple configurations as opposed to single configuration. Experimental results show that by increasing cluster size from 4 to 10, yield improvement increases from 2.82X to 4.48X. However, changing look-up table (LUT) size from 4 to 7 results in yield improvement degradation from 2.82X to 1.45X, using 10 configurations compared to single configuration over 20 MCNC benchmark circuits. These results indicate that multi-configuration technique causes larger timing yield improvement in FPGAs with larger cluster size and smaller LUT size.

References

  1. Y. Lin, M. Hutton, and L. He, "Placement and timing for FPGAs considering variations," in Proceedings of the International Conference on Field Programmable Logic and Applications, pp. 1--7, 2006.Google ScholarGoogle Scholar
  2. Y. Matsumoto, M. Hioki, T. Kawanami, H. Koike, T. Tsutsumi, T. Nakagawa and T. Sekigawa, "Suppression of intrinsic delay variation in FPGAs using multiple configurations," ACM Transactions on Reconfigurable Technology and Systems, vol. 1, no. 1, pp. 1--31, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. L. Cheng, J. Xiong, L. He and M. Hutton, "FPGA performance optimization via chipwise placement considering process variations," in Proceedings of the International Conference on Field Programmable Logic and Applications, pp. 1--6, 2006.Google ScholarGoogle Scholar
  4. H. Wong, L. Cheng, Y. Lin and L. He, "FPGA device and architecture evaluation considering process variations," in Proceedings of International Conference on Computer-Aided Design, pp. 19--24, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. A. Kumar and M. Anis, "FPGA design for timing yield under process variations," IEEE Transactions on Very Large Scale Integration Systems, vol. 18, no. 3, pp. 423--435, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K. A. Bowman, S. G. Duvall, and J. M. Meindl, "Impact of Die-to-Die and Within-Die Parameter Fluctuations on The Maximum Clock Frequency Distribution for Gigascale Integration," IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 183--190, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  7. G. Nabaa, N. Azizi, and F. N. Najm, "An adaptive FPGA architecture with process variation compensation and reduced leakage," in Proceedings of the 43rd Design Automation Conference, pp. 624--629, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Y. Sugihara, Y. Kume, K. Kobayashi, and H. Onodera, "Performance optimization by track swapping on critical paths utilizing random variations for FPGAs," Proceedings of International Conference on Field Programmable Logic and Applications, pp. 503--506, 2008.Google ScholarGoogle Scholar
  9. E. Ahmed, "The effect of logic block granularity on deep-submicron FPGA performance and density." MS thesis, Dept. of Electrical and Computer Engineering, University of Toronto, Canada, 2001.Google ScholarGoogle Scholar
  10. J. Luu, I. Kuon, P. Jamieson, T. Campbell, A. Ye, W. Fang and J. Rose, "VPR 5.0: FPGA CAD architecture exploration tools with single-driver routing, heterogeneity and process scaling," IEEE International Symposium on Field Programmable Gate Arrays, pp. 133--142, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. VPR and T-VPack: Versatile Packing, Placement and Routing for FPGAs." http://www.eecg.toronto.edu/~vaughn/vpr/vpr.html. Last checked June 19, 2009.Google ScholarGoogle Scholar
  12. S. R. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari and J. Torrellas, "VARIUS: a model of process variation and resulting timing errors for micro-architectures," IEEE Transactions on Semiconductor Manufacturing, vol. 21, no. 1, pp. 3--13, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  13. A. Bsoul, "Reliability and variation-aware placement for field programmable gate arrays," MS thesis, Dept. of Electrical and Computer Engineering, Queen's University, Canada, 2009.Google ScholarGoogle Scholar

Index Terms

  1. Timing yield improvement of FPGAs utilizing enhanced architectures and multiple configurations under process variation (abstract only)

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      FPGA '12: Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
      February 2012
      352 pages
      ISBN:9781450311557
      DOI:10.1145/2145694

      Copyright © 2012 Authors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 22 February 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • poster

      Acceptance Rates

      FPGA '12 Paper Acceptance Rate20of87submissions,23%Overall Acceptance Rate125of627submissions,20%
    • Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0

      Other Metrics