skip to main content
10.1145/2160916.2160950acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

The ISPD-2012 discrete cell sizing contest and benchmark suite

Published:25 March 2012Publication History

ABSTRACT

Circuit optimization is essential to minimize power consumption of designs while satisfying timing constraints. The CAD problem focused on in the ISPD-2012 Contest is simultaneous gate sizing and threshold voltage assignment. In this paper, we describe an overview of the contest objectives and the provided benchmark suite. Furthermore, some details are provided in terms of the standard cell library, timing models, and the evaluation metrics of the ISPD-2012 Contest.

References

  1. M. R. C. M. Berkelaar and J. A. G. Jess. Gate sizing in MOS digital circuits with linear programming. In Proc. of DATE, pages 217--221, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. P. Chen, C. C.-N. Chu, and D. F. Wong. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. IEEE Trans. on Computer-Aided Design, 18(7):1014--1025, July 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Chinnery and K. Keutzer. Linear programming for sizing, vth and vdd assignment. In Proc. of ISLPED, pages 149--154, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H. Chou, Y.-H. Wang, and C. C.-P. Chen. Fast and effective gate sizing with multiple-Vt assignment using generalized Lagrangian relaxation. In Proc. of ASPDAC, pages 381--386, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. M. Ozdal, S. Burns, and J. Hu. Gate sizing and device technology selection algorithms for high-performance industrial designs. In Proc. of ICCAD, Nov. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. M. Rahman, H. Tennakoon, and C. Sechen. Power reduction via near-optimal library-based cell-size selection. In Proc. of DATE, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  7. H. Ren and S. Dutt. A network-flow based cell sizing algorithm. In Workshop Notes, Int'l Workshop on Logic Synthesis, 2008.Google ScholarGoogle Scholar
  8. S. Roy, W. Chen, C. C.-P. Chen, and Y. H. Hu. Numerically convex forms and their application in gate sizing. IEEE Trans. on Computer-Aided Design, 26(9):1637--1647, Sept. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Tennakoon and C. Sechen. Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. In Proc. of ICCAD, pages 395--402, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Wang, D. Das, and H. Zhou. Gate sizing by Lagrangian relaxation revisited. IEEE Trans. on Computer-Aided Design, 28(7):1071--1084, July 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. The ISPD-2012 discrete cell sizing contest and benchmark suite

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISPD '12: Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
      March 2012
      220 pages
      ISBN:9781450311670
      DOI:10.1145/2160916

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 25 March 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate62of172submissions,36%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader