skip to main content
10.1145/2208828.2208840acmotherconferencesArticle/Chapter ViewAbstractPublication Pagese-energyConference Proceedingsconference-collections
research-article

Evaluating and modeling power consumption of multi-core processors

Published:09 May 2012Publication History

ABSTRACT

Recently, energy-efficient computing has become a major interest, both in the mobile and IT sectors. With the advent of multi-core processors and their energy-saving mechanisms, there is a necessity to model their power consumption. The existing models for multi-core processors are based on the assumption that the power consumption of multiple cores performing parallel computations is equal to the sum of the power of each of those active cores. In this paper, we analyze this assumption and show that it leads to lack of accuracy when applied to modern processors such as quad-core. Based on our analysis, we present a methodology for estimating the power consumption of multi-core processors. Unlike existing models, we take into account resource sharing and power saving mechanisms. We show that our approach provides an accuracy within a maximum error of 5%.

References

  1. http://www.amd.com/us/products/technologies/cool-n-quiet/Pages/cool-n-quiet.aspx.Google ScholarGoogle Scholar
  2. http://www.zes.com/english/products/one-to-eight-channel-precision-power-analyzer-lmg500.html.Google ScholarGoogle Scholar
  3. http://ark.intel.com/Product.aspx?id=33929.Google ScholarGoogle Scholar
  4. http://www.devin.com/lookbusy/.Google ScholarGoogle Scholar
  5. L. Barroso and U. Holzle. The case for energy-proportional computing. Computer, 40(12):33--37, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. R. Berrendorf and B. Mohr. PCL - The Performance Counter Library Version 2.2, Jan. 2003.Google ScholarGoogle Scholar
  7. R. Bertran, M. Gonzalez, X. Martorell, N. Navarro, and E. Ayguade. Decomposable and responsive power models for multicore processors using performance counters. In Proceedings of 24th ACM Int'l Conf. on Supercomputing, ICS '10, pages 147--158. ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. Brooks, V. Tiwari, and M. Martonosi. Wattch: a framework for architectural-level power analysis and optimizations. In Proceedings of the 27th Int'l Symp. on Computer Architecture, pages 83--94, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. A. Chandrakasan and R. Brodersen. Minimizing power consumption in digital CMOS circuits. Proceedings of the IEEE, 83(4):498--523, Apr. 1995.Google ScholarGoogle ScholarCross RefCross Ref
  10. A. P. Chandrakasan and R. W. Brodersen. Minimizing power consumption in cmos circuits. Technical report, University of California at Berkeley, 1995.Google ScholarGoogle Scholar
  11. X. Fan, W.-D. Weber, and L. A. Barroso. Power provisioning for a warehouse-sized computer. In Proceedings of the 34th annual Int'l Symposium on Computer Architecture, pages 13--23. ACM, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Herbert and D. Marculescu. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. In Proceedings of Int'l Symp. on Low Power Electronics and Design, pages 38--43. ACM/ IEEE, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. C. Hewlett-Packard, C. Intel, C. Microsoft, L. Phoenix Technologies, and C. Toshiba. Advanced configuration and power interface specification, 2010.Google ScholarGoogle Scholar
  14. C.-T. Hsieh, Q. Wu, C.-S. Ding, and M. Pedram. Statistical sampling and regression analysis for RT-Level power evaluation. In Proceedings of Int'l Conf. on Computer-Aided Design, pages 583--588. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C.-H. Hsu, J. J. Chen, and S.-L. Tsao. Evaluation and modeling of power consumption of a heterogeneous dual-core processor. In Proceedings of Int'l Conf. on Parallel and Distributed Systems, pages 1--8, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. C. X. Huang, B. Zhang, A.-C. Deng, and B. Swirski. The design and implementation of PowerMill. In Proceedings of the Int'l Symp. on Low Power Design, pages 105--110. ACM, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. R. Joseph and M. Martonosi. Run-time power estimation in high performance microprocessors, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler, and T. Keller. Energy management for commercial servers. Computer, 36(12):39--48, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. D. Meisner, B. T. Gold, and T. F. Wenisch. PowerNap: eliminating server idle power. In Proceeding of the 14th Int'l Conf. on Architectural Support for Programming Languages and Operating Systems, pages 205--216. ACM, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. V. Pallipadi. Enhanced Intel SpeedStep Technology and Demand-Based Switching on Linux, Feb 2009.Google ScholarGoogle Scholar
  21. G. Qu, N. Kawabe, K. Usarni, and M. Potkonjak. Function-level power estimation methodology for microprocessors. In Proceedings of Design Automation Conference, pages 810--813, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. J. Russell and M. Jacome. Software power estimation and optimization for high performance, 32-bit embedded processors. In Proceedings of Int'l Conf. on Computer Design, pages 328--333, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. K. Singh, M. Bhadauria, and S. A. McKee. Real time power estimation and thread scheduling via performance counters. SIGARCH Comput. Archit. News, 37:46--55, July 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. P. E. West. Core monitors: Monitoring. Master's thesis, THE FLORIDA STATE UNIVERSITY, 2008.Google ScholarGoogle Scholar

Index Terms

  1. Evaluating and modeling power consumption of multi-core processors

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Other conferences
              e-Energy '12: Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
              May 2012
              250 pages
              ISBN:9781450310550
              DOI:10.1145/2208828

              Copyright © 2012 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 9 May 2012

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article

              Acceptance Rates

              Overall Acceptance Rate160of446submissions,36%

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader