skip to main content
research-article

A3MAP: Architecture-aware analytic mapping for networks-on-chip

Published:05 July 2012Publication History
Skip Abstract Section

Abstract

In this article, we propose novel and global Architecture-Aware Analytic MAPping (A3MAP) algorithms applied to Networks-on-Chip (NoCs) not only with homogeneous Processing Elements (PEs) on a regular mesh network as done by most previous application mapping algorithms but also with heterogeneous PEs on an irregular mesh or custom network. As the main contributions, we develop a simple yet efficient interconnection matrix that can easily model any core graph and network. Then, an application mapping problem is exactly formulated to Mixed Integer Quadratic Programming (MIQP). Since MIQP is NP-hard, we propose two effective heuristics, a successive relaxation algorithm achieving short runtime, called A3MAP-SR and a genetic algorithm achieving high mapping quality, called A3MAP-GA. We also propose a partition-based application mapping approach for large-scale NoCs, which provides better trade-off between performance and runtime. Experimental results show that A3MAP algorithms reduce total hop count, compared to the previous application mapping algorithms optimized for a regular mesh network, called NMAP [Murali and Micheli 2004] and for an irregular mesh and custom network, called CMAP [Tornero et al. 2008]. Furthermore, A3MAP algorithms make packets travel shorter distance than CMAP, which is related to energy consumption.

References

  1. AIMMS. 2012. Optimization software for operations research applications. http://www.aimms.comGoogle ScholarGoogle Scholar
  2. Borkar, S. 2007. Thousand core chips: A technology perspective. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'07). Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Benini, L. and Micheli, D. G. 2002. Network on chips: A new SoC paradigm. Comput. 35, 1, 70--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Bolotin, E., Cidon, I., Ginosar, R., and Kolodny, A. 2007. Routing table minimization for irregular mesh NoCs. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07). 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Carvalho, E., Calazans, N., and Moraes, F. 2007. Heuristics for dynamic task mapping in NoC-based heterogeneous MPSOCs. In Proceedings of the International Workshop on Rapid System Prototyping. 34--40. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Chan, J. and Parameswaran, S. 2008. NoCOUT: NoC topology generation with mixed packet-switched and point-to-point networks. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'08). Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Chang, J. M. and Pedram, M. 2000. Codex-dp: Co-Design of communicating systems using dynamic programming. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 10, 7, 732--744. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Chang, P. C., Wu, I. W., Shann, J. J., and Chung, C. P. 2008. ETAHM: An energy-aware task allocation algorithm for heterogeneous multiprocessor. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'08). 776--779. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Chatha, S. K., Srinivasan, K., and Konjevod, G. 2008. Automated techniques for synthesis of application specific network-on-chip architectures. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 27, 8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Chen, G., Li, F., Son, W. S., and Kandemir, M. 2008. Application mapping for chip multiprocessor. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'08). 620--625. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Chou, C. L., Ogras, Y. U., and Marculescu, R. 2008. Energy- and performance-aware incremental mapping for networks on chip with multiple voltage levels. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 27, 10, 1866--1879. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Dally, J. W. and Towles, B. 2001. Route packets, not wires: On-Chip interconnection networks. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'01). 746--749. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Dick, P. R. 2012. Embedded system synthesis benchmarks suites (E3S). http://www.ece.northwestern.edu/∼dickrp/e3s/Google ScholarGoogle Scholar
  14. Dick, P. R., Rhodes, L. D., and Wolf, W. 1998. TGFF: Task graphs for free. In Proceedings of the International Workshop on Hardware/Software Codesign. 97--101. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Dutta, S., Jensen, R., and Rieckkmann, A. 2001. Viper: A multiprocessor SoC for advanced set-top box and digital tv systems. IEEE Des. Test Comput. 18, 5, 21--31. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Faruque, A. A. M., Krist, R., and Henkel, J. 2008. ADAM: Run-Time agent-based distributed application mapping for on-chip communication. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'08). 760--765. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Ghosh, P., Sen, A., and Hall, A. 2009. Energy efficient application mapping to NoC processing elements operating at multiple voltage levels. In Proceedings of the International Symposium on Networks-on-Chip. 80--85. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Gopalakrishnan, P., Li, X., and Pileggi, L. 2006. Architecture-Aware fpga placement using metric embedding. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'06). 460--465. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Grossmann, E. I. and Kravanja, Z. 1997. Mixed-Integer Nonlinear Programming: A Survey of Algorithms and Applications, Large-Scale Optimization with Applications, Part II: Optimal Design and Control. A. R. Conn, L. T. Biegler, T. F. Coleman, and F. N. Santosa, Eds. Springer.Google ScholarGoogle Scholar
  20. Hansson, A., Goossens, K., and Radulescu, A. 2005. A unified approach to constrained mapping and routing on network-on-chip architectures. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS'05). 75--80. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. He, O., Dong, S., Jang, W., Bian, J., and Pan, Z. D. 2011. UNISM: Unified scheduling and mapping for general networks on chip. IEEE Trans. VLSI Syst. 99, 1--14.Google ScholarGoogle Scholar
  22. hMETIS. 2012. Hypergraph and circuit partitioning. http://glaros.dtc.umn.edu/gkhome/views/metisGoogle ScholarGoogle Scholar
  23. Holsmark, R., Palesi, M., and Kumar, S. 2008. Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions. J. Syst. Archit. 54, 3--4, 384--396. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Hu, J. and Marculescu, R. 2003. Energy-Aware mapping for tile-based NoC architectures under performance constraints. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'03). 233--239. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Hu, J. and Marculescu, R. 2005. Communication and task scheduling of application-specific networks-on-chip. IEEE Proc. Comput. Digit. Tech. 152, 5, 643--651.Google ScholarGoogle ScholarCross RefCross Ref
  26. Jang, W. 2011. Architecture and physical design for advanced networks-on-chip. Ph.D. dissertation, University of Texas at Austin.Google ScholarGoogle Scholar
  27. Jang, W. and Pan, Z. D. 2010a. A3MAP: Architecture-Aware analytic mapping for networks-on-chip. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'10). 523--528. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Jang, W. and Pan, Z. D. 2010b. An SDRAM-aware router for networks-on-chip. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 20, 10, 1572--1585. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Jang, W., Ding, D., and Pan, Z. D. 2010. Voltage and frequency island optimizations for many-core/NoC designs. In Proceedings of the International Conference on Green Circuits and Systems. 217--220.Google ScholarGoogle Scholar
  30. Jang, W. and Pan, Z. D. 2011a. A voltage-frequency island aware energy optimization framework for networks-on-chip. IEEE J. Emerg. Select. Topics Circ. Syst. 1, 3, 420--432.Google ScholarGoogle ScholarCross RefCross Ref
  31. Jang, W. and Pan, Z. D. 2011b. Application-Aware NoC design for efficient sdram access. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 30, 10, 1521--1533. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Jang, W., He, O., Yang, J. S., and Pan, Z. D. 2011. In Proceedings of the International Conference on Computer-Aided Design. 207--212. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Le Beux, S., Bois, G., Nicolescu, G., Langevin, M., and Paulin, P. 2010. Combining mapping and partitioning exploration for NoC-based embedded systems. J. Syst. Archit. 56, 7, 223--232. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Markovsky, Y., Patel, Y., and Wawrzynek, J. 2009. Using adaptive routing to compensate for performance heterogeneity. In Proceedings of the International Symposium on Networks on Chip.12--21. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Matousek, J. 2002. Lectures in Discrete Geometry. Springer. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Murali, S., Meloni, P., Angiolini, F., Atienza, D., Carta, S., Benini, L., Micheli, D. G., and Raffo, L. 2007. Designing application-aware networks on chips with floorplan information. In Proceedings of the International Conference on Computer-Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Murali, S. and Micheli, D. G. 2004. Bandwidth-Constrained mapping of cores onto NoC architecture. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'04). 896--901. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Oliver, I., Smith, D., and Holland, J. 1987. A study of permutation crossover operators on the traveling salesman problem. In Proceedings of the Conference on Genetic Algorithms. 224--230. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Sahni, S. and Gonzalez, T. 1976. P-Complete approximation problems. J. ACM 23, 3, 555--565. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Schafer, F. F. M., Hollstein, T., Zimmer, H., and Glesner, M. 2005. Deadlock-Free routing and component placement for irregular mesh-based network-on-chip. In Proceedings of the International Conference on Computer-Aided Design. 238--245. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Shin, D. and Kim, J. 2004. Power-Aware communication optimization for networks-on-chip with voltage scalable links. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis. 170--175. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Singh, A. K., Jigang, W., Prakash, A., and Srikanthan, T. 2009. Efficient heuristics for minimizing communication overhead in NoC-based heterogeneous MPSoC platforms. In Proceedings of the International Symposium on Rapid System Prototyping. 55--60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. Singh, A. K., Srikanthan, T., Kumar, A., and Jigang, W. 2010. Communication-Aware heuristics for runtime task mapping on NoC-based MPSoC platforms. J. Syst. Archit. 56, 7, 242--255. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Smit, T. L., Smit, J. M. G., Hurink, L. J., Broersma, H., Paulusma, D., and Wolkotte, T. P. 2004. Run-Time assignment of tasks to multiple heterogeneous processors. In Proceedings of the 4th PROGRESS Workshop on Embedded Systems. 185--192.Google ScholarGoogle Scholar
  45. STMicroelectronics. 2012. Nomadik multimedia processors. http://www.st.comGoogle ScholarGoogle Scholar
  46. Texas Instruments. 2012. Wireless handset solutions: OMAP platform. http://www.ti.comGoogle ScholarGoogle Scholar
  47. Tornero, R., Orduna, M. J., Palesi, M., and Duato, J. 2008. A communication-aware topological mapping technique for NoCs. In Proceedings of the 14th International Conference on Parallel and Distributed Computing. 910--919. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. Van Der Tol, B. E. and Jaspers, G. T. E. 2002. Mapping of the mpeg-4 decoding on flexible architecture platform. In Proce. SPIE 4674, 1, 1--13.Google ScholarGoogle Scholar

Index Terms

  1. A3MAP: Architecture-aware analytic mapping for networks-on-chip

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 17, Issue 3
        Special section on verification challenges in the concurrent world
        June 2012
        377 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/2209291
        Issue’s Table of Contents

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 July 2012
        • Accepted: 1 February 2012
        • Revised: 1 January 2012
        • Received: 1 February 2011
        Published in todaes Volume 17, Issue 3

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader